Do not restrict multiplier to unsigned
authorEddie Hung <eddie@fpgeh.com>
Fri, 30 Aug 2019 19:22:14 +0000 (12:22 -0700)
committerEddie Hung <eddie@fpgeh.com>
Fri, 30 Aug 2019 19:22:14 +0000 (12:22 -0700)
passes/pmgen/ice40_dsp.cc

index 39d033a04f449e49e863d8ebf98adc23fdfbd728..16bfe537f0a2c586c015f747e7864590c9a20f73 100644 (file)
@@ -64,11 +64,6 @@ void create_ice40_dsp(ice40_dsp_pm &pm)
 
        bool mul_signed = st.mul->getParam("\\A_SIGNED").as_bool();
 
-       if (mul_signed) {
-               log("  inference of signed iCE40 DSP arithmetic is currently not supported.\n");
-               return;
-       }
-
        log("  replacing $mul with SB_MAC16 cell.\n");
 
        Cell *cell = pm.module->addCell(NEW_ID, "\\SB_MAC16");