build: scripts are generated by LiteX
authorFlorent Kermarrec <florent@enjoy-digital.fr>
Tue, 23 Apr 2019 03:38:33 +0000 (05:38 +0200)
committerFlorent Kermarrec <florent@enjoy-digital.fr>
Tue, 23 Apr 2019 03:38:33 +0000 (05:38 +0200)
litex/build/altera/quartus.py
litex/build/lattice/diamond.py
litex/build/lattice/icestorm.py
litex/build/lattice/trellis.py
litex/build/microsemi/libero_soc.py
litex/build/xilinx/ise.py
litex/build/xilinx/vivado.py

index 2aa025567a4e3463c3af41a272a5293ecdf7cba0..b26f7596848e999384ae0c8a8e0a02b28538afdb 100644 (file)
@@ -111,7 +111,7 @@ def _build_files(device, sources, vincpaths, named_sc, named_pc, build_name):
 
 
 def _run_quartus(build_name, quartus_path):
-    build_script_contents = """# Autogenerated by Migen
+    build_script_contents = """# Autogenerated by LiteX
 
 set -e
 
index f604877d934dc9ab3942e33a6efa42077ef43c48..9160f8f00565ec8909bfa171d5c48e84a4fb1f44 100644 (file)
@@ -72,12 +72,12 @@ def _build_files(device, sources, vincpaths, build_name):
 def _build_script(build_name, device, toolchain_path, ver=None):
     if sys.platform in ("win32", "cygwin"):
         script_ext = ".bat"
-        build_script_contents = "@echo off\nrem Autogenerated by Migen\n\n"
+        build_script_contents = "@echo off\nrem Autogenerated by LiteX\n\n"
         copy_stmt = "copy"
         fail_stmt = " || exit /b"
     else:
         script_ext = ".sh"
-        build_script_contents = "# Autogenerated by Migen\nset -e\n\n"
+        build_script_contents = "# Autogenerated by LiteX\nset -e\n\n"
         copy_stmt = "cp"
         fail_stmt = ""
 
index ad26209104815030f42f365b6c65f898a4de3b79..3f4ccc9736e9452b9c53714a373b93addfb22aa6 100644 (file)
@@ -35,11 +35,11 @@ def _build_pre_pack(vns, freq_cstrs):
 def _build_script(source, build_template, build_name, **kwargs):
     if sys.platform in ("win32", "cygwin"):
         script_ext = ".bat"
-        build_script_contents = "@echo off\nrem Autogenerated by Migen\n\n"
+        build_script_contents = "@echo off\nrem Autogenerated by LiteX\n\n"
         fail_stmt = " || exit /b"
     else:
         script_ext = ".sh"
-        build_script_contents = "# Autogenerated by Migen\nset -e\n\n"
+        build_script_contents = "# Autogenerated by LiteX\nset -e\n\n"
         fail_stmt = ""
 
     for s in build_template:
index 63a8d75d4a0c5f96e9cfae2fe62bccfa4e894a6c..d1ad5b7839ce65812576e853a6c57eb18f31bd8e 100644 (file)
@@ -75,11 +75,11 @@ def _build_script(source, build_template, build_name, architecture,
                   package, freq_constraint):
     if sys.platform in ("win32", "cygwin"):
         script_ext = ".bat"
-        build_script_contents = "@echo off\nrem Autogenerated by Migen\n\n"
+        build_script_contents = "@echo off\nrem Autogenerated by LiteX\n\n"
         fail_stmt = " || exit /b"
     else:
         script_ext = ".sh"
-        build_script_contents = "# Autogenerated by Migen\nset -e\n\n"
+        build_script_contents = "# Autogenerated by LiteX\nset -e\n\n"
         fail_stmt = ""
 
     for s in build_template:
index a82ac5862615962617138b69bbe6e3af7931c237..c3e42f449f38b89d7ff6c1eae8e7578cd6624748 100644 (file)
@@ -181,12 +181,12 @@ def _build_timing_sdc(vns, clocks, false_paths, build_name, additional_timing_co
 def _build_script(build_name, device, toolchain_path, ver=None):
     if sys.platform in ("win32", "cygwin"):
         script_ext = ".bat"
-        build_script_contents = "@echo off\nrem Autogenerated by Migen\n\n"
+        build_script_contents = "@echo off\nrem Autogenerated by LiteX\n\n"
         copy_stmt = "copy"
         fail_stmt = " || exit /b"
     else:
         script_ext = ".sh"
-        build_script_contents = "# Autogenerated by Migen\n\n"
+        build_script_contents = "# Autogenerated by LiteX\n\n"
         copy_stmt = "cp"
         fail_stmt = " || exit 1"
 
index 39ff5b4898cb285a3f4daa75abffcaf2287d2547..0242279d49c1869e380e589b17fb66c2dd0cda38 100644 (file)
@@ -91,13 +91,13 @@ def _run_ise(build_name, ise_path, source, mode, ngdbuild_opt,
         source_cmd = "call "
         script_ext = ".bat"
         shell = ["cmd", "/c"]
-        build_script_contents = "@echo off\nrem Autogenerated by Migen\n"
+        build_script_contents = "@echo off\nrem Autogenerated by LiteX\n"
         fail_stmt = " || exit /b"
     else:
         source_cmd = "source "
         script_ext = ".sh"
         shell = ["bash"]
-        build_script_contents = "# Autogenerated by Migen\nset -e\n"
+        build_script_contents = "# Autogenerated by LiteX\nset -e\n"
         fail_stmt = ""
     if source:
         settings = common.settings(ise_path, ver, "ISE_DS")
index 9eb08e2b6fb411a9e619471b33e1d3352a3829f2..d04ff2d451fa3126b4ea65a21036ff0e15448a4f 100644 (file)
@@ -58,13 +58,13 @@ def _build_xdc(named_sc, named_pc):
 
 def _run_vivado(build_name, vivado_path, source, ver=None):
     if sys.platform == "win32" or sys.platform == "cygwin":
-        build_script_contents = "REM Autogenerated by Migen\n"
+        build_script_contents = "REM Autogenerated by LiteX\n"
         build_script_contents += "vivado -mode batch -source " + build_name + ".tcl\n"
         build_script_file = "build_" + build_name + ".bat"
         tools.write_to_file(build_script_file, build_script_contents)
         command = build_script_file
     else:
-        build_script_contents = "# Autogenerated by Migen\nset -e\n"
+        build_script_contents = "# Autogenerated by LiteX\nset -e\n"
         # Only source Vivado settings if not already in our $PATH
         if not find_executable("vivado"):
             # For backwards compatibility with ISE paths, also