compat.fhdl.decorators: add migration warnings.
authorwhitequark <cz@m-labs.hk>
Sat, 12 Oct 2019 22:44:12 +0000 (22:44 +0000)
committerwhitequark <cz@m-labs.hk>
Sat, 12 Oct 2019 22:45:03 +0000 (22:45 +0000)
nmigen/compat/fhdl/decorators.py

index f4ab519f8f28524f6745b3fba6c4bd730466a116..7d925f32e30e6929823e02c3cfbe03e937448863 100644 (file)
@@ -2,6 +2,7 @@ from ...hdl.ast import *
 from ...hdl.xfrm import ResetInserter as NativeResetInserter
 from ...hdl.xfrm import EnableInserter as NativeEnableInserter
 from ...hdl.xfrm import DomainRenamer as NativeDomainRenamer
+from ..._tools import deprecated
 
 
 __all__ = ["ResetInserter", "CEInserter", "ClockDomainsRenamer"]
@@ -26,11 +27,19 @@ class _CompatControlInserter:
         return self._native_inserter(dict(signals.values()))(module)
 
 
+@deprecated("instead of `migen.fhdl.decorators.ResetInserter`, "
+            "use `nmigen.hdl.xfrm.ResetInserter`; note that nMigen ResetInserter accepts "
+            "a dict of reset signals (or a single reset signal) as an argument, not "
+            "a set of clock domain names (or a single clock domain name)")
 class CompatResetInserter(_CompatControlInserter):
     _control_name = "reset"
     _native_inserter = NativeResetInserter
 
 
+@deprecated("instead of `migen.fhdl.decorators.CEInserter`, "
+            "use `nmigen.hdl.xfrm.EnableInserter`; note that nMigen EnableInserter accepts "
+            "a dict of enable signals (or a single enable signal) as an argument, not "
+            "a set of clock domain names (or a single clock domain name)")
 class CompatCEInserter(_CompatControlInserter):
     _control_name = "ce"
     _native_inserter = NativeEnableInserter