Various cleanups in xilinx techlib
authorClifford Wolf <clifford@clifford.at>
Sun, 18 Jan 2015 18:43:54 +0000 (19:43 +0100)
committerClifford Wolf <clifford@clifford.at>
Sun, 18 Jan 2015 18:43:54 +0000 (19:43 +0100)
techlibs/xilinx/Makefile.inc
techlibs/xilinx/arith.v [deleted file]
techlibs/xilinx/arith_map.v [new file with mode: 0644]
techlibs/xilinx/brams.txt
techlibs/xilinx/brams.v [deleted file]
techlibs/xilinx/brams_map.v [new file with mode: 0644]
techlibs/xilinx/cells.v [deleted file]
techlibs/xilinx/cells_map.v [new file with mode: 0644]
techlibs/xilinx/synth_xilinx.cc
techlibs/xilinx/tests/bram1.sh

index 646bd4c34dfeda2fd5a14355c0e222cc83cab445..9af7b58f3bda79ab2b614cd4ff17b2dc6356ca1d 100644 (file)
@@ -1,9 +1,9 @@
 
 OBJS += techlibs/xilinx/synth_xilinx.o
 
-$(eval $(call add_share_file,share/xilinx,techlibs/xilinx/cells.v))
+$(eval $(call add_share_file,share/xilinx,techlibs/xilinx/cells_map.v))
 $(eval $(call add_share_file,share/xilinx,techlibs/xilinx/cells_sim.v))
 $(eval $(call add_share_file,share/xilinx,techlibs/xilinx/brams.txt))
-$(eval $(call add_share_file,share/xilinx,techlibs/xilinx/brams.v))
-$(eval $(call add_share_file,share/xilinx,techlibs/xilinx/arith.v))
+$(eval $(call add_share_file,share/xilinx,techlibs/xilinx/brams_map.v))
+$(eval $(call add_share_file,share/xilinx,techlibs/xilinx/arith_map.v))
 
diff --git a/techlibs/xilinx/arith.v b/techlibs/xilinx/arith.v
deleted file mode 100644 (file)
index a154f77..0000000
+++ /dev/null
@@ -1,91 +0,0 @@
-/*
- *  yosys -- Yosys Open SYnthesis Suite
- *
- *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
- *  
- *  Permission to use, copy, modify, and/or distribute this software for any
- *  purpose with or without fee is hereby granted, provided that the above
- *  copyright notice and this permission notice appear in all copies.
- *  
- *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
- *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
- *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
- *  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
- *  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
- *  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
- *  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
- *
- */
-
-(* techmap_celltype = "$lcu" *)
-module _80_xilinx_lcu (P, G, CI, CO);
-       parameter WIDTH = 2;
-
-       input [WIDTH-1:0] P, G;
-       input CI;
-
-       output [WIDTH-1:0] CO;
-
-       wire _TECHMAP_FAIL_ = WIDTH <= 2;
-
-       wire [WIDTH-1:0] C = {CO, CI};
-       wire [WIDTH-1:0] S = P & ~G;
-
-       genvar i;
-       generate for (i = 0; i < WIDTH; i = i + 1) begin:slice
-               MUXCY muxcy (
-                       .CI(C[i]),
-                       .DI(G[i]),
-                       .S(S[i]),
-                       .O(CO[i])
-               );
-       end endgenerate
-endmodule
-
-(* techmap_celltype = "$alu" *)
-module _80_xilinx_alu (A, B, CI, BI, X, Y, CO);
-       parameter A_SIGNED = 0;
-       parameter B_SIGNED = 0;
-       parameter A_WIDTH = 1;
-       parameter B_WIDTH = 1;
-       parameter Y_WIDTH = 1;
-
-       input [A_WIDTH-1:0] A;
-       input [B_WIDTH-1:0] B;
-       output [Y_WIDTH-1:0] X, Y;
-
-       input CI, BI;
-       output [Y_WIDTH-1:0] CO;
-
-       wire _TECHMAP_FAIL_ = Y_WIDTH <= 2;
-
-       wire [Y_WIDTH-1:0] A_buf, B_buf;
-       \$pos #(.A_SIGNED(A_SIGNED), .A_WIDTH(A_WIDTH), .Y_WIDTH(Y_WIDTH)) A_conv (.A(A), .Y(A_buf));
-       \$pos #(.A_SIGNED(B_SIGNED), .A_WIDTH(B_WIDTH), .Y_WIDTH(Y_WIDTH)) B_conv (.A(B), .Y(B_buf));
-
-       wire [Y_WIDTH-1:0] AA = A_buf;
-       wire [Y_WIDTH-1:0] BB = BI ? ~B_buf : B_buf;
-
-       wire [Y_WIDTH-1:0] P = AA ^ BB;
-       wire [Y_WIDTH-1:0] G = AA & BB;
-       wire [Y_WIDTH-1:0] C = {CO, CI};
-       wire [Y_WIDTH-1:0] S = P & ~G;
-
-       genvar i;
-       generate for (i = 0; i < Y_WIDTH; i = i + 1) begin:slice
-               MUXCY muxcy (
-                       .CI(C[i]),
-                       .DI(G[i]),
-                       .S(S[i]),
-                       .O(CO[i])
-               );
-               XORCY xorcy (
-                       .CI(C[i]),
-                       .LI(S[i]),
-                       .O(Y[i])
-               );
-       end endgenerate
-
-       assign X = P;
-endmodule
-
diff --git a/techlibs/xilinx/arith_map.v b/techlibs/xilinx/arith_map.v
new file mode 100644 (file)
index 0000000..a154f77
--- /dev/null
@@ -0,0 +1,91 @@
+/*
+ *  yosys -- Yosys Open SYnthesis Suite
+ *
+ *  Copyright (C) 2012  Clifford Wolf <clifford@clifford.at>
+ *  
+ *  Permission to use, copy, modify, and/or distribute this software for any
+ *  purpose with or without fee is hereby granted, provided that the above
+ *  copyright notice and this permission notice appear in all copies.
+ *  
+ *  THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
+ *  WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
+ *  MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
+ *  ANY SPECIAL, DIRECT, INDIRECT, OR CONSEQUENTIAL DAMAGES OR ANY DAMAGES
+ *  WHATSOEVER RESULTING FROM LOSS OF USE, DATA OR PROFITS, WHETHER IN AN
+ *  ACTION OF CONTRACT, NEGLIGENCE OR OTHER TORTIOUS ACTION, ARISING OUT OF
+ *  OR IN CONNECTION WITH THE USE OR PERFORMANCE OF THIS SOFTWARE.
+ *
+ */
+
+(* techmap_celltype = "$lcu" *)
+module _80_xilinx_lcu (P, G, CI, CO);
+       parameter WIDTH = 2;
+
+       input [WIDTH-1:0] P, G;
+       input CI;
+
+       output [WIDTH-1:0] CO;
+
+       wire _TECHMAP_FAIL_ = WIDTH <= 2;
+
+       wire [WIDTH-1:0] C = {CO, CI};
+       wire [WIDTH-1:0] S = P & ~G;
+
+       genvar i;
+       generate for (i = 0; i < WIDTH; i = i + 1) begin:slice
+               MUXCY muxcy (
+                       .CI(C[i]),
+                       .DI(G[i]),
+                       .S(S[i]),
+                       .O(CO[i])
+               );
+       end endgenerate
+endmodule
+
+(* techmap_celltype = "$alu" *)
+module _80_xilinx_alu (A, B, CI, BI, X, Y, CO);
+       parameter A_SIGNED = 0;
+       parameter B_SIGNED = 0;
+       parameter A_WIDTH = 1;
+       parameter B_WIDTH = 1;
+       parameter Y_WIDTH = 1;
+
+       input [A_WIDTH-1:0] A;
+       input [B_WIDTH-1:0] B;
+       output [Y_WIDTH-1:0] X, Y;
+
+       input CI, BI;
+       output [Y_WIDTH-1:0] CO;
+
+       wire _TECHMAP_FAIL_ = Y_WIDTH <= 2;
+
+       wire [Y_WIDTH-1:0] A_buf, B_buf;
+       \$pos #(.A_SIGNED(A_SIGNED), .A_WIDTH(A_WIDTH), .Y_WIDTH(Y_WIDTH)) A_conv (.A(A), .Y(A_buf));
+       \$pos #(.A_SIGNED(B_SIGNED), .A_WIDTH(B_WIDTH), .Y_WIDTH(Y_WIDTH)) B_conv (.A(B), .Y(B_buf));
+
+       wire [Y_WIDTH-1:0] AA = A_buf;
+       wire [Y_WIDTH-1:0] BB = BI ? ~B_buf : B_buf;
+
+       wire [Y_WIDTH-1:0] P = AA ^ BB;
+       wire [Y_WIDTH-1:0] G = AA & BB;
+       wire [Y_WIDTH-1:0] C = {CO, CI};
+       wire [Y_WIDTH-1:0] S = P & ~G;
+
+       genvar i;
+       generate for (i = 0; i < Y_WIDTH; i = i + 1) begin:slice
+               MUXCY muxcy (
+                       .CI(C[i]),
+                       .DI(G[i]),
+                       .S(S[i]),
+                       .O(CO[i])
+               );
+               XORCY xorcy (
+                       .CI(C[i]),
+                       .LI(S[i]),
+                       .O(Y[i])
+               );
+       end endgenerate
+
+       assign X = P;
+endmodule
+
index 4aa5109f5b14f1fe6caa003075dcf92f09abd956..84c114578bfdd2fa0da65511d97a0c4c907a4055 100644 (file)
@@ -23,6 +23,30 @@ bram $__XILINX_RAMB18_SDP
   clkpol 2 3
 endbram
 
+bram $__XILINX_RAMB36_TDP
+  abits 10     @a10d36
+  dbits 36     @a10d36
+  abits 11     @a11d18
+  dbits 18     @a11d18
+  abits 12     @a12d9
+  dbits  9     @a12d9
+  abits 13     @a13d4
+  dbits  4     @a13d4
+  abits 14     @a14d2
+  dbits  2     @a14d2
+  abits 15     @a15d1
+  dbits  1     @a15d1
+  groups 2
+  ports  1 1
+  wrmode 0 1
+  enable 0 4   @a10d36
+  enable 0 2   @a11d18
+  enable 0 1   @a12d9 @a13d4 @a14d2 @a15d1
+  transp 0 0
+  clocks 2 3
+  clkpol 2 3
+endbram
+
 bram $__XILINX_RAMB18_TDP
   abits 10     @a10d18
   dbits 18     @a10d18
@@ -48,6 +72,7 @@ match $__XILINX_RAMB36_SDP
   min bits 4096
   min efficiency 5
   shuffle_enable B
+  make_transp
   or_next_if_better
 endmatch
 
@@ -55,6 +80,15 @@ match $__XILINX_RAMB18_SDP
   min bits 4096
   min efficiency 5
   shuffle_enable B
+  make_transp
+  or_next_if_better
+endmatch
+
+match $__XILINX_RAMB36_TDP
+  min bits 4096
+  min efficiency 5
+  shuffle_enable B
+  make_transp
   or_next_if_better
 endmatch
 
diff --git a/techlibs/xilinx/brams.v b/techlibs/xilinx/brams.v
deleted file mode 100644 (file)
index c5c1bde..0000000
+++ /dev/null
@@ -1,188 +0,0 @@
-module \$__XILINX_RAMB36_SDP (CLK2, CLK3, A1ADDR, A1DATA, B1ADDR, B1DATA, B1EN);
-       parameter CLKPOL2 = 1;
-       parameter CLKPOL3 = 1;
-
-       input CLK2;
-       input CLK3;
-
-       input [8:0] A1ADDR;
-       output [71:0] A1DATA;
-
-       input [8:0] B1ADDR;
-       input [71:0] B1DATA;
-       input [7:0] B1EN;
-
-       wire [15:0] A1ADDR_16 = {A1ADDR, 6'b0};
-       wire [15:0] B1ADDR_16 = {B1ADDR, 6'b0};
-
-       wire [7:0] DIP, DOP;
-       wire [63:0] DI, DO;
-
-       assign A1DATA = { DOP[7], DO[63:56], DOP[6], DO[55:48], DOP[5], DO[47:40], DOP[4], DO[39:32],
-                         DOP[3], DO[31:24], DOP[2], DO[23:16], DOP[1], DO[15: 8], DOP[0], DO[ 7: 0] };
-
-       assign { DIP[7], DI[63:56], DIP[6], DI[55:48], DIP[5], DI[47:40], DIP[4], DI[39:32],
-                DIP[3], DI[31:24], DIP[2], DI[23:16], DIP[1], DI[15: 8], DIP[0], DI[ 7: 0] } = B1DATA;
-
-       RAMB36E1 #(
-               .RAM_MODE("SDP"),
-               .READ_WIDTH_A(72),
-               .WRITE_WIDTH_B(72),
-               .WRITE_MODE_A("READ_FIRST"),
-               .WRITE_MODE_B("READ_FIRST"),
-               .IS_CLKARDCLK_INVERTED(!CLKPOL2),
-               .IS_CLKBWRCLK_INVERTED(!CLKPOL3)
-       ) _TECHMAP_REPLACE_ (
-               .DOBDO(DO[63:32]),
-               .DOADO(DO[31:0]),
-               .DOPBDOP(DOP[7:4]),
-               .DOPADOP(DOP[3:0]),
-               .DIBDI(DI[63:32]),
-               .DIADI(DI[31:0]),
-               .DIPBDIP(DIP[7:4]),
-               .DIPADIP(DIP[3:0]),
-
-               .ADDRARDADDR(A1ADDR_16),
-               .CLKARDCLK(CLK2),
-               .ENARDEN(|1),
-               .REGCEAREGCE(|1),
-               .RSTRAMARSTRAM(|0),
-               .RSTREGARSTREG(|0),
-               .WEA(4'b0),
-
-               .ADDRBWRADDR(B1ADDR_16),
-               .CLKBWRCLK(CLK3),
-               .ENBWREN(|1),
-               .REGCEB(|0),
-               .RSTRAMB(|0),
-               .RSTREGB(|0),
-               .WEBWE(B1EN)
-       );
-endmodule
-
-// ------------------------------------------------------------------------
-
-module \$__XILINX_RAMB18_SDP (CLK2, CLK3, A1ADDR, A1DATA, B1ADDR, B1DATA, B1EN);
-       parameter CLKPOL2 = 1;
-       parameter CLKPOL3 = 1;
-
-       input CLK2;
-       input CLK3;
-
-       input [8:0] A1ADDR;
-       output [35:0] A1DATA;
-
-       input [8:0] B1ADDR;
-       input [35:0] B1DATA;
-       input [3:0] B1EN;
-
-       wire [13:0] A1ADDR_14 = {A1ADDR, 5'b0};
-       wire [13:0] B1ADDR_14 = {B1ADDR, 5'b0};
-
-       wire [3:0] DIP, DOP;
-       wire [31:0] DI, DO;
-
-       assign A1DATA = { DOP[3], DO[31:24], DOP[2], DO[23:16], DOP[1], DO[15: 8], DOP[0], DO[ 7: 0] };
-       assign { DIP[3], DI[31:24], DIP[2], DI[23:16], DIP[1], DI[15: 8], DIP[0], DI[ 7: 0] } = B1DATA;
-
-       RAMB18E1 #(
-               .RAM_MODE("SDP"),
-               .READ_WIDTH_A(36),
-               .WRITE_WIDTH_B(36),
-               .WRITE_MODE_A("READ_FIRST"),
-               .WRITE_MODE_B("READ_FIRST"),
-               .IS_CLKARDCLK_INVERTED(!CLKPOL2),
-               .IS_CLKBWRCLK_INVERTED(!CLKPOL3)
-       ) _TECHMAP_REPLACE_ (
-               .DOBDO(DO[31:16]),
-               .DOADO(DO[15:0]),
-               .DOPBDOP(DOP[3:2]),
-               .DOPADOP(DOP[1:0]),
-               .DIBDI(DI[31:16]),
-               .DIADI(DI[15:0]),
-               .DIPBDIP(DIP[3:2]),
-               .DIPADIP(DIP[1:0]),
-
-               .ADDRARDADDR(A1ADDR_14),
-               .CLKARDCLK(CLK2),
-               .ENARDEN(|1),
-               .REGCEAREGCE(|1),
-               .RSTRAMARSTRAM(|0),
-               .RSTREGARSTREG(|0),
-               .WEA(2'b0),
-
-               .ADDRBWRADDR(B1ADDR_14),
-               .CLKBWRCLK(CLK3),
-               .ENBWREN(|1),
-               .REGCEB(|0),
-               .RSTRAMB(|0),
-               .RSTREGB(|0),
-               .WEBWE(B1EN)
-       );
-endmodule
-
-// ------------------------------------------------------------------------
-
-module \$__XILINX_RAMB18_TDP (CLK2, CLK3, A1ADDR, A1DATA, B1ADDR, B1DATA, B1EN);
-       parameter CFG_ABITS = 10;
-       parameter CFG_DBITS = 18;
-       parameter CFG_ENABLE_B = 2;
-
-       parameter CLKPOL2 = 1;
-       parameter CLKPOL3 = 1;
-
-       input CLK2;
-       input CLK3;
-
-       input [CFG_ABITS-1:0] A1ADDR;
-       output [CFG_DBITS-1:0] A1DATA;
-
-       input [CFG_ABITS-1:0] B1ADDR;
-       input [CFG_DBITS-1:0] B1DATA;
-       input [CFG_ENABLE_B-1:0] B1EN;
-
-       wire [13:0] A1ADDR_14 = A1ADDR << (14 - CFG_ABITS);
-       wire [13:0] B1ADDR_14 = B1ADDR << (14 - CFG_ABITS);
-       wire [3:0] B1EN_4 = B1EN;
-
-       wire [1:0] DIP, DOP;
-       wire [15:0] DI, DO;
-
-       assign A1DATA = { DOP[1], DO[15: 8], DOP[0], DO[ 7: 0] };
-       assign { DIP[1], DI[15: 8], DIP[0], DI[ 7: 0] } = B1DATA;
-
-       RAMB18E1 #(
-               .RAM_MODE("TDP"),
-               .READ_WIDTH_A(CFG_DBITS),
-               .READ_WIDTH_B(CFG_DBITS),
-               .WRITE_WIDTH_A(CFG_DBITS),
-               .WRITE_WIDTH_B(CFG_DBITS),
-               .WRITE_MODE_A("READ_FIRST"),
-               .WRITE_MODE_B("READ_FIRST"),
-               .IS_CLKARDCLK_INVERTED(!CLKPOL2),
-               .IS_CLKBWRCLK_INVERTED(!CLKPOL3)
-       ) _TECHMAP_REPLACE_ (
-               .DIADI(16'b0),
-               .DIPADIP(2'b0),
-               .DOADO(DO),
-               .DOPADOP(DOP),
-               .ADDRARDADDR(A1ADDR_14),
-               .CLKARDCLK(CLK2),
-               .ENARDEN(|1),
-               .REGCEAREGCE(|1),
-               .RSTRAMARSTRAM(|0),
-               .RSTREGARSTREG(|0),
-               .WEA(2'b0),
-
-               .DIBDI(DI),
-               .DIPBDIP(DIP),
-               .ADDRBWRADDR(B1ADDR_14),
-               .CLKBWRCLK(CLK3),
-               .ENBWREN(|1),
-               .REGCEB(|0),
-               .RSTRAMB(|0),
-               .RSTREGB(|0),
-               .WEBWE(B1EN_4)
-       );
-endmodule
-
diff --git a/techlibs/xilinx/brams_map.v b/techlibs/xilinx/brams_map.v
new file mode 100644 (file)
index 0000000..af057fe
--- /dev/null
@@ -0,0 +1,253 @@
+module \$__XILINX_RAMB36_SDP (CLK2, CLK3, A1ADDR, A1DATA, B1ADDR, B1DATA, B1EN);
+       parameter CLKPOL2 = 1;
+       parameter CLKPOL3 = 1;
+
+       input CLK2;
+       input CLK3;
+
+       input [8:0] A1ADDR;
+       output [71:0] A1DATA;
+
+       input [8:0] B1ADDR;
+       input [71:0] B1DATA;
+       input [7:0] B1EN;
+
+       wire [15:0] A1ADDR_16 = {A1ADDR, 6'b0};
+       wire [15:0] B1ADDR_16 = {B1ADDR, 6'b0};
+
+       wire [7:0] DIP, DOP;
+       wire [63:0] DI, DO;
+
+       assign A1DATA = { DOP[7], DO[63:56], DOP[6], DO[55:48], DOP[5], DO[47:40], DOP[4], DO[39:32],
+                         DOP[3], DO[31:24], DOP[2], DO[23:16], DOP[1], DO[15: 8], DOP[0], DO[ 7: 0] };
+
+       assign { DIP[7], DI[63:56], DIP[6], DI[55:48], DIP[5], DI[47:40], DIP[4], DI[39:32],
+                DIP[3], DI[31:24], DIP[2], DI[23:16], DIP[1], DI[15: 8], DIP[0], DI[ 7: 0] } = B1DATA;
+
+       RAMB36E1 #(
+               .RAM_MODE("SDP"),
+               .READ_WIDTH_A(72),
+               .WRITE_WIDTH_B(72),
+               .WRITE_MODE_A("READ_FIRST"),
+               .WRITE_MODE_B("READ_FIRST"),
+               .IS_CLKARDCLK_INVERTED(!CLKPOL2),
+               .IS_CLKBWRCLK_INVERTED(!CLKPOL3)
+       ) _TECHMAP_REPLACE_ (
+               .DOBDO(DO[63:32]),
+               .DOADO(DO[31:0]),
+               .DOPBDOP(DOP[7:4]),
+               .DOPADOP(DOP[3:0]),
+               .DIBDI(DI[63:32]),
+               .DIADI(DI[31:0]),
+               .DIPBDIP(DIP[7:4]),
+               .DIPADIP(DIP[3:0]),
+
+               .ADDRARDADDR(A1ADDR_16),
+               .CLKARDCLK(CLK2),
+               .ENARDEN(|1),
+               .REGCEAREGCE(|1),
+               .RSTRAMARSTRAM(|0),
+               .RSTREGARSTREG(|0),
+               .WEA(4'b0),
+
+               .ADDRBWRADDR(B1ADDR_16),
+               .CLKBWRCLK(CLK3),
+               .ENBWREN(|1),
+               .REGCEB(|0),
+               .RSTRAMB(|0),
+               .RSTREGB(|0),
+               .WEBWE(B1EN)
+       );
+endmodule
+
+// ------------------------------------------------------------------------
+
+module \$__XILINX_RAMB18_SDP (CLK2, CLK3, A1ADDR, A1DATA, B1ADDR, B1DATA, B1EN);
+       parameter CLKPOL2 = 1;
+       parameter CLKPOL3 = 1;
+
+       input CLK2;
+       input CLK3;
+
+       input [8:0] A1ADDR;
+       output [35:0] A1DATA;
+
+       input [8:0] B1ADDR;
+       input [35:0] B1DATA;
+       input [3:0] B1EN;
+
+       wire [13:0] A1ADDR_14 = {A1ADDR, 5'b0};
+       wire [13:0] B1ADDR_14 = {B1ADDR, 5'b0};
+
+       wire [3:0] DIP, DOP;
+       wire [31:0] DI, DO;
+
+       assign A1DATA = { DOP[3], DO[31:24], DOP[2], DO[23:16], DOP[1], DO[15: 8], DOP[0], DO[ 7: 0] };
+       assign { DIP[3], DI[31:24], DIP[2], DI[23:16], DIP[1], DI[15: 8], DIP[0], DI[ 7: 0] } = B1DATA;
+
+       RAMB18E1 #(
+               .RAM_MODE("SDP"),
+               .READ_WIDTH_A(36),
+               .WRITE_WIDTH_B(36),
+               .WRITE_MODE_A("READ_FIRST"),
+               .WRITE_MODE_B("READ_FIRST"),
+               .IS_CLKARDCLK_INVERTED(!CLKPOL2),
+               .IS_CLKBWRCLK_INVERTED(!CLKPOL3)
+       ) _TECHMAP_REPLACE_ (
+               .DOBDO(DO[31:16]),
+               .DOADO(DO[15:0]),
+               .DOPBDOP(DOP[3:2]),
+               .DOPADOP(DOP[1:0]),
+               .DIBDI(DI[31:16]),
+               .DIADI(DI[15:0]),
+               .DIPBDIP(DIP[3:2]),
+               .DIPADIP(DIP[1:0]),
+
+               .ADDRARDADDR(A1ADDR_14),
+               .CLKARDCLK(CLK2),
+               .ENARDEN(|1),
+               .REGCEAREGCE(|1),
+               .RSTRAMARSTRAM(|0),
+               .RSTREGARSTREG(|0),
+               .WEA(2'b0),
+
+               .ADDRBWRADDR(B1ADDR_14),
+               .CLKBWRCLK(CLK3),
+               .ENBWREN(|1),
+               .REGCEB(|0),
+               .RSTRAMB(|0),
+               .RSTREGB(|0),
+               .WEBWE(B1EN)
+       );
+endmodule
+
+// ------------------------------------------------------------------------
+
+module \$__XILINX_RAMB36_TDP (CLK2, CLK3, A1ADDR, A1DATA, B1ADDR, B1DATA, B1EN);
+       parameter CFG_ABITS = 10;
+       parameter CFG_DBITS = 36;
+       parameter CFG_ENABLE_B = 4;
+
+       parameter CLKPOL2 = 1;
+       parameter CLKPOL3 = 1;
+
+       input CLK2;
+       input CLK3;
+
+       input [CFG_ABITS-1:0] A1ADDR;
+       output [CFG_DBITS-1:0] A1DATA;
+
+       input [CFG_ABITS-1:0] B1ADDR;
+       input [CFG_DBITS-1:0] B1DATA;
+       input [CFG_ENABLE_B-1:0] B1EN;
+
+       wire [15:0] A1ADDR_16 = A1ADDR << (15 - CFG_ABITS);
+       wire [15:0] B1ADDR_16 = B1ADDR << (15 - CFG_ABITS);
+       wire [7:0] B1EN_8 = B1EN;
+
+       wire [3:0] DIP, DOP;
+       wire [31:0] DI, DO;
+
+       assign A1DATA = { DOP[3], DO[31:24], DOP[2], DO[23:16], DOP[1], DO[15: 8], DOP[0], DO[ 7: 0] };
+       assign { DIP[3], DI[31:24], DIP[2], DI[23:16], DIP[1], DI[15: 8], DIP[0], DI[ 7: 0] } = B1DATA;
+
+       RAMB36E1 #(
+               .RAM_MODE("TDP"),
+               .READ_WIDTH_A(CFG_DBITS),
+               .READ_WIDTH_B(CFG_DBITS),
+               .WRITE_WIDTH_A(CFG_DBITS),
+               .WRITE_WIDTH_B(CFG_DBITS),
+               .WRITE_MODE_A("READ_FIRST"),
+               .WRITE_MODE_B("READ_FIRST"),
+               .IS_CLKARDCLK_INVERTED(!CLKPOL2),
+               .IS_CLKBWRCLK_INVERTED(!CLKPOL3)
+       ) _TECHMAP_REPLACE_ (
+               .DIADI(32'd0),
+               .DIPADIP(4'd0),
+               .DOADO(DO[31:0]),
+               .DOPADOP(DOP[3:0]),
+               .ADDRARDADDR(A1ADDR_16),
+               .CLKARDCLK(CLK2),
+               .ENARDEN(|1),
+               .REGCEAREGCE(|1),
+               .RSTRAMARSTRAM(|0),
+               .RSTREGARSTREG(|0),
+               .WEA(4'b0),
+
+               .DIBDI(DI),
+               .DIPBDIP(DIP),
+               .ADDRBWRADDR(B1ADDR_16),
+               .CLKBWRCLK(CLK3),
+               .ENBWREN(|1),
+               .REGCEB(|0),
+               .RSTRAMB(|0),
+               .RSTREGB(|0),
+               .WEBWE(B1EN_8)
+       );
+endmodule
+
+// ------------------------------------------------------------------------
+
+module \$__XILINX_RAMB18_TDP (CLK2, CLK3, A1ADDR, A1DATA, B1ADDR, B1DATA, B1EN);
+       parameter CFG_ABITS = 10;
+       parameter CFG_DBITS = 18;
+       parameter CFG_ENABLE_B = 2;
+
+       parameter CLKPOL2 = 1;
+       parameter CLKPOL3 = 1;
+
+       input CLK2;
+       input CLK3;
+
+       input [CFG_ABITS-1:0] A1ADDR;
+       output [CFG_DBITS-1:0] A1DATA;
+
+       input [CFG_ABITS-1:0] B1ADDR;
+       input [CFG_DBITS-1:0] B1DATA;
+       input [CFG_ENABLE_B-1:0] B1EN;
+
+       wire [13:0] A1ADDR_14 = A1ADDR << (14 - CFG_ABITS);
+       wire [13:0] B1ADDR_14 = B1ADDR << (14 - CFG_ABITS);
+       wire [3:0] B1EN_4 = B1EN;
+
+       wire [1:0] DIP, DOP;
+       wire [15:0] DI, DO;
+
+       assign A1DATA = { DOP[1], DO[15: 8], DOP[0], DO[ 7: 0] };
+       assign { DIP[1], DI[15: 8], DIP[0], DI[ 7: 0] } = B1DATA;
+
+       RAMB18E1 #(
+               .RAM_MODE("TDP"),
+               .READ_WIDTH_A(CFG_DBITS),
+               .READ_WIDTH_B(CFG_DBITS),
+               .WRITE_WIDTH_A(CFG_DBITS),
+               .WRITE_WIDTH_B(CFG_DBITS),
+               .WRITE_MODE_A("READ_FIRST"),
+               .WRITE_MODE_B("READ_FIRST"),
+               .IS_CLKARDCLK_INVERTED(!CLKPOL2),
+               .IS_CLKBWRCLK_INVERTED(!CLKPOL3)
+       ) _TECHMAP_REPLACE_ (
+               .DIADI(16'b0),
+               .DIPADIP(2'b0),
+               .DOADO(DO),
+               .DOPADOP(DOP),
+               .ADDRARDADDR(A1ADDR_14),
+               .CLKARDCLK(CLK2),
+               .ENARDEN(|1),
+               .REGCEAREGCE(|1),
+               .RSTRAMARSTRAM(|0),
+               .RSTREGARSTREG(|0),
+               .WEA(2'b0),
+
+               .DIBDI(DI),
+               .DIPBDIP(DIP),
+               .ADDRBWRADDR(B1ADDR_14),
+               .CLKBWRCLK(CLK3),
+               .ENBWREN(|1),
+               .REGCEB(|0),
+               .RSTRAMB(|0),
+               .RSTREGB(|0),
+               .WEBWE(B1EN_4)
+       );
+endmodule
+
diff --git a/techlibs/xilinx/cells.v b/techlibs/xilinx/cells.v
deleted file mode 100644 (file)
index 8e5a83c..0000000
+++ /dev/null
@@ -1,84 +0,0 @@
-
-module  \$_DFF_N_ (input D, C, output Q); FDRE #(.INIT(|0), .IS_C_INVERTED(|1), .IS_D_INVERTED(|0), .IS_R_INVERTED(|0)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .R(1'b0)); endmodule
-module  \$_DFF_P_ (input D, C, output Q); FDRE #(.INIT(|0), .IS_C_INVERTED(|0), .IS_D_INVERTED(|0), .IS_R_INVERTED(|0)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .R(1'b0)); endmodule
-
-module  \$_DFFE_NP_ (input D, C, E, output Q); FDRE #(.INIT(|0), .IS_C_INVERTED(|1), .IS_D_INVERTED(|0), .IS_R_INVERTED(|0)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(E), .R(1'b0)); endmodule
-module  \$_DFFE_PP_ (input D, C, E, output Q); FDRE #(.INIT(|0), .IS_C_INVERTED(|0), .IS_D_INVERTED(|0), .IS_R_INVERTED(|0)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(E), .R(1'b0)); endmodule
-
-module  \$_DFF_NN0_ (input D, C, R, output Q); FDCE #(.INIT(|0), .IS_C_INVERTED(|1), .IS_D_INVERTED(|0), .IS_CLR_INVERTED(|1)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .CLR(R)); endmodule
-module  \$_DFF_NP0_ (input D, C, R, output Q); FDCE #(.INIT(|0), .IS_C_INVERTED(|1), .IS_D_INVERTED(|0), .IS_CLR_INVERTED(|0)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .CLR(R)); endmodule
-module  \$_DFF_PN0_ (input D, C, R, output Q); FDCE #(.INIT(|0), .IS_C_INVERTED(|0), .IS_D_INVERTED(|0), .IS_CLR_INVERTED(|1)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .CLR(R)); endmodule
-module  \$_DFF_PP0_ (input D, C, R, output Q); FDCE #(.INIT(|0), .IS_C_INVERTED(|0), .IS_D_INVERTED(|0), .IS_CLR_INVERTED(|0)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .CLR(R)); endmodule
-
-module  \$_DFF_NN1_ (input D, C, R, output Q); FDPE #(.INIT(|0), .IS_C_INVERTED(|1), .IS_D_INVERTED(|0), .IS_PRE_INVERTED(|1)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .PRE(R)); endmodule
-module  \$_DFF_NP1_ (input D, C, R, output Q); FDPE #(.INIT(|0), .IS_C_INVERTED(|1), .IS_D_INVERTED(|0), .IS_PRE_INVERTED(|0)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .PRE(R)); endmodule
-module  \$_DFF_PN1_ (input D, C, R, output Q); FDPE #(.INIT(|0), .IS_C_INVERTED(|0), .IS_D_INVERTED(|0), .IS_PRE_INVERTED(|1)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .PRE(R)); endmodule
-module  \$_DFF_PP1_ (input D, C, R, output Q); FDPE #(.INIT(|0), .IS_C_INVERTED(|0), .IS_D_INVERTED(|0), .IS_PRE_INVERTED(|0)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .PRE(R)); endmodule
-
-module \$lut (A, Y);
-  parameter WIDTH = 0;
-  parameter LUT = 0;
-
-  input [WIDTH-1:0] A;
-  output Y;
-
-  generate
-    if (WIDTH == 1) begin
-      LUT1 #(.INIT(LUT)) _TECHMAP_REPLACE_ (.O(Y),
-        .I0(A[0]));
-    end else
-    if (WIDTH == 2) begin
-      LUT2 #(.INIT(LUT)) _TECHMAP_REPLACE_ (.O(Y),
-        .I0(A[0]), .I1(A[1]));
-    end else
-    if (WIDTH == 3) begin
-      LUT3 #(.INIT(LUT)) _TECHMAP_REPLACE_ (.O(Y),
-        .I0(A[0]), .I1(A[1]), .I2(A[2]));
-    end else
-    if (WIDTH == 4) begin
-      LUT4 #(.INIT(LUT)) _TECHMAP_REPLACE_ (.O(Y),
-        .I0(A[0]), .I1(A[1]), .I2(A[2]),
-        .I3(A[3]));
-    end else
-    if (WIDTH == 5) begin
-      LUT5 #(.INIT(LUT)) _TECHMAP_REPLACE_ (.O(Y),
-        .I0(A[0]), .I1(A[1]), .I2(A[2]),
-        .I3(A[3]), .I4(A[4]));
-    end else
-    if (WIDTH == 6) begin
-      LUT6 #(.INIT(LUT)) _TECHMAP_REPLACE_ (.O(Y),
-        .I0(A[0]), .I1(A[1]), .I2(A[2]),
-        .I3(A[3]), .I4(A[4]), .I5(A[5]));
-    end else
-    if (WIDTH == 7) begin
-      wire T0, T1;
-      LUT6 #(.INIT(LUT[63:0])) fpga_lut_0 (.O(T0),
-        .I0(A[0]), .I1(A[1]), .I2(A[2]),
-        .I3(A[3]), .I4(A[4]), .I5(A[5]));
-      LUT6 #(.INIT(LUT[127:64])) fpga_lut_1 (.O(T1),
-        .I0(A[0]), .I1(A[1]), .I2(A[2]),
-        .I3(A[3]), .I4(A[4]), .I5(A[5]));
-      MUXF7 fpga_mux_0 (.O(Y), .I0(T0), .I1(T1), .S(A[6]));
-    end else
-    if (WIDTH == 8) begin
-      wire T0, T1, T2, T3, T4, T5;
-      LUT6 #(.INIT(LUT[63:0])) fpga_lut_0 (.O(T0),
-        .I0(A[0]), .I1(A[1]), .I2(A[2]),
-        .I3(A[3]), .I4(A[4]), .I5(A[5]));
-      LUT6 #(.INIT(LUT[127:64])) fpga_lut_1 (.O(T1),
-        .I0(A[0]), .I1(A[1]), .I2(A[2]),
-        .I3(A[3]), .I4(A[4]), .I5(A[5]));
-      LUT6 #(.INIT(LUT[191:128])) fpga_lut_2 (.O(T2),
-        .I0(A[0]), .I1(A[1]), .I2(A[2]),
-        .I3(A[3]), .I4(A[4]), .I5(A[5]));
-      LUT6 #(.INIT(LUT[255:192])) fpga_lut_3 (.O(T3),
-        .I0(A[0]), .I1(A[1]), .I2(A[2]),
-        .I3(A[3]), .I4(A[4]), .I5(A[5]));
-      MUXF7 fpga_mux_0 (.O(T4), .I0(T0), .I1(T1), .S(A[6]));
-      MUXF7 fpga_mux_1 (.O(T5), .I0(T2), .I1(T3), .S(A[6]));
-      MUXF8 fpga_mux_2 (.O(Y), .I0(T4), .I1(T5), .S(A[7]));
-    end else begin
-      wire _TECHMAP_FAIL_ = 1;
-    end
-  endgenerate
-endmodule
diff --git a/techlibs/xilinx/cells_map.v b/techlibs/xilinx/cells_map.v
new file mode 100644 (file)
index 0000000..8e5a83c
--- /dev/null
@@ -0,0 +1,84 @@
+
+module  \$_DFF_N_ (input D, C, output Q); FDRE #(.INIT(|0), .IS_C_INVERTED(|1), .IS_D_INVERTED(|0), .IS_R_INVERTED(|0)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .R(1'b0)); endmodule
+module  \$_DFF_P_ (input D, C, output Q); FDRE #(.INIT(|0), .IS_C_INVERTED(|0), .IS_D_INVERTED(|0), .IS_R_INVERTED(|0)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .R(1'b0)); endmodule
+
+module  \$_DFFE_NP_ (input D, C, E, output Q); FDRE #(.INIT(|0), .IS_C_INVERTED(|1), .IS_D_INVERTED(|0), .IS_R_INVERTED(|0)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(E), .R(1'b0)); endmodule
+module  \$_DFFE_PP_ (input D, C, E, output Q); FDRE #(.INIT(|0), .IS_C_INVERTED(|0), .IS_D_INVERTED(|0), .IS_R_INVERTED(|0)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(E), .R(1'b0)); endmodule
+
+module  \$_DFF_NN0_ (input D, C, R, output Q); FDCE #(.INIT(|0), .IS_C_INVERTED(|1), .IS_D_INVERTED(|0), .IS_CLR_INVERTED(|1)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .CLR(R)); endmodule
+module  \$_DFF_NP0_ (input D, C, R, output Q); FDCE #(.INIT(|0), .IS_C_INVERTED(|1), .IS_D_INVERTED(|0), .IS_CLR_INVERTED(|0)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .CLR(R)); endmodule
+module  \$_DFF_PN0_ (input D, C, R, output Q); FDCE #(.INIT(|0), .IS_C_INVERTED(|0), .IS_D_INVERTED(|0), .IS_CLR_INVERTED(|1)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .CLR(R)); endmodule
+module  \$_DFF_PP0_ (input D, C, R, output Q); FDCE #(.INIT(|0), .IS_C_INVERTED(|0), .IS_D_INVERTED(|0), .IS_CLR_INVERTED(|0)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .CLR(R)); endmodule
+
+module  \$_DFF_NN1_ (input D, C, R, output Q); FDPE #(.INIT(|0), .IS_C_INVERTED(|1), .IS_D_INVERTED(|0), .IS_PRE_INVERTED(|1)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .PRE(R)); endmodule
+module  \$_DFF_NP1_ (input D, C, R, output Q); FDPE #(.INIT(|0), .IS_C_INVERTED(|1), .IS_D_INVERTED(|0), .IS_PRE_INVERTED(|0)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .PRE(R)); endmodule
+module  \$_DFF_PN1_ (input D, C, R, output Q); FDPE #(.INIT(|0), .IS_C_INVERTED(|0), .IS_D_INVERTED(|0), .IS_PRE_INVERTED(|1)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .PRE(R)); endmodule
+module  \$_DFF_PP1_ (input D, C, R, output Q); FDPE #(.INIT(|0), .IS_C_INVERTED(|0), .IS_D_INVERTED(|0), .IS_PRE_INVERTED(|0)) _TECHMAP_REPLACE_ (.D(D), .Q(Q), .C(C), .CE(1'b1), .PRE(R)); endmodule
+
+module \$lut (A, Y);
+  parameter WIDTH = 0;
+  parameter LUT = 0;
+
+  input [WIDTH-1:0] A;
+  output Y;
+
+  generate
+    if (WIDTH == 1) begin
+      LUT1 #(.INIT(LUT)) _TECHMAP_REPLACE_ (.O(Y),
+        .I0(A[0]));
+    end else
+    if (WIDTH == 2) begin
+      LUT2 #(.INIT(LUT)) _TECHMAP_REPLACE_ (.O(Y),
+        .I0(A[0]), .I1(A[1]));
+    end else
+    if (WIDTH == 3) begin
+      LUT3 #(.INIT(LUT)) _TECHMAP_REPLACE_ (.O(Y),
+        .I0(A[0]), .I1(A[1]), .I2(A[2]));
+    end else
+    if (WIDTH == 4) begin
+      LUT4 #(.INIT(LUT)) _TECHMAP_REPLACE_ (.O(Y),
+        .I0(A[0]), .I1(A[1]), .I2(A[2]),
+        .I3(A[3]));
+    end else
+    if (WIDTH == 5) begin
+      LUT5 #(.INIT(LUT)) _TECHMAP_REPLACE_ (.O(Y),
+        .I0(A[0]), .I1(A[1]), .I2(A[2]),
+        .I3(A[3]), .I4(A[4]));
+    end else
+    if (WIDTH == 6) begin
+      LUT6 #(.INIT(LUT)) _TECHMAP_REPLACE_ (.O(Y),
+        .I0(A[0]), .I1(A[1]), .I2(A[2]),
+        .I3(A[3]), .I4(A[4]), .I5(A[5]));
+    end else
+    if (WIDTH == 7) begin
+      wire T0, T1;
+      LUT6 #(.INIT(LUT[63:0])) fpga_lut_0 (.O(T0),
+        .I0(A[0]), .I1(A[1]), .I2(A[2]),
+        .I3(A[3]), .I4(A[4]), .I5(A[5]));
+      LUT6 #(.INIT(LUT[127:64])) fpga_lut_1 (.O(T1),
+        .I0(A[0]), .I1(A[1]), .I2(A[2]),
+        .I3(A[3]), .I4(A[4]), .I5(A[5]));
+      MUXF7 fpga_mux_0 (.O(Y), .I0(T0), .I1(T1), .S(A[6]));
+    end else
+    if (WIDTH == 8) begin
+      wire T0, T1, T2, T3, T4, T5;
+      LUT6 #(.INIT(LUT[63:0])) fpga_lut_0 (.O(T0),
+        .I0(A[0]), .I1(A[1]), .I2(A[2]),
+        .I3(A[3]), .I4(A[4]), .I5(A[5]));
+      LUT6 #(.INIT(LUT[127:64])) fpga_lut_1 (.O(T1),
+        .I0(A[0]), .I1(A[1]), .I2(A[2]),
+        .I3(A[3]), .I4(A[4]), .I5(A[5]));
+      LUT6 #(.INIT(LUT[191:128])) fpga_lut_2 (.O(T2),
+        .I0(A[0]), .I1(A[1]), .I2(A[2]),
+        .I3(A[3]), .I4(A[4]), .I5(A[5]));
+      LUT6 #(.INIT(LUT[255:192])) fpga_lut_3 (.O(T3),
+        .I0(A[0]), .I1(A[1]), .I2(A[2]),
+        .I3(A[3]), .I4(A[4]), .I5(A[5]));
+      MUXF7 fpga_mux_0 (.O(T4), .I0(T0), .I1(T1), .S(A[6]));
+      MUXF7 fpga_mux_1 (.O(T5), .I0(T2), .I1(T3), .S(A[6]));
+      MUXF8 fpga_mux_2 (.O(Y), .I0(T4), .I1(T5), .S(A[7]));
+    end else begin
+      wire _TECHMAP_FAIL_ = 1;
+    end
+  endgenerate
+endmodule
index 58c6fe71b1da7302ae672e80e566e7ba06af75a2..b3776b3d8da4eeddff8bb39c92e83a485d66789b 100644 (file)
@@ -80,13 +80,13 @@ struct SynthXilinxPass : public Pass {
                log("\n");
                log("    bram:\n");
                log("        memory_bram -rules +/xilinx/brams.txt\n");
-               log("        techmap -map +/xilinx/brams.v\n");
+               log("        techmap -map +/xilinx/brams_map.v\n");
                log("\n");
                log("    fine:\n");
                log("        opt -fast -full\n");
                log("        memory_map\n");
                log("        opt -full\n");
-               log("        techmap -map +/techmap.v -map +/xilinx/arith.v\n");
+               log("        techmap -map +/techmap.v -map +/xilinx/arith_map.v\n");
                log("        opt -fast\n");
                log("\n");
                log("    map_luts:\n");
@@ -94,7 +94,7 @@ struct SynthXilinxPass : public Pass {
                log("        clean\n");
                log("\n");
                log("    map_cells:\n");
-               log("        techmap -map +/xilinx/cells.v\n");
+               log("        techmap -map +/xilinx/cells_map.v\n");
                log("        clean\n");
                log("\n");
                log("    edif:\n");
@@ -169,7 +169,7 @@ struct SynthXilinxPass : public Pass {
                if (check_label(active, run_from, run_to, "bram"))
                {
                        Pass::call(design, "memory_bram -rules +/xilinx/brams.txt");
-                       Pass::call(design, "techmap -map +/xilinx/brams.v");
+                       Pass::call(design, "techmap -map +/xilinx/brams_map.v");
                }
 
                if (check_label(active, run_from, run_to, "fine"))
@@ -177,7 +177,7 @@ struct SynthXilinxPass : public Pass {
                        Pass::call(design, "opt -fast -full");
                        Pass::call(design, "memory_map");
                        Pass::call(design, "opt -full");
-                       Pass::call(design, "techmap -map +/techmap.v -map +/xilinx/arith.v");
+                       Pass::call(design, "techmap -map +/techmap.v -map +/xilinx/arith_map.v");
                        Pass::call(design, "opt -fast");
                }
 
@@ -189,7 +189,7 @@ struct SynthXilinxPass : public Pass {
 
                if (check_label(active, run_from, run_to, "map_cells"))
                {
-                       Pass::call(design, "techmap -map +/xilinx/cells.v");
+                       Pass::call(design, "techmap -map +/xilinx/cells_map.v");
                        Pass::call(design, "clean");
                }
 
index 05c8ad794cf8b63132ee4eda91bb88c260443f46..1f0359ac9dbff9988bf6e8fa1eae4b612d66cd96 100644 (file)
@@ -56,6 +56,8 @@ echo "Testing..."
 ${MAKE:-make} -f bram1.mk
 echo
 
+echo "Used rules:" $(grep -h 'Selected rule.*with efficiency' bram1_*/synth.log | gawk '{ print $3; }' | sort -u)
+
 echo "Cleaning up..."
 rm -rf bram1_cmp bram1.mk bram1_[0-9]*/