projects
/
microwatt.git
/ commitdiff
commit
grep
author
committer
pickaxe
?
search:
re
summary
|
shortlog
|
log
|
commit
| commitdiff |
tree
raw
|
patch
| inline |
side by side
(parent:
71e45a8
)
Add a default value for RESET_ADDRESS
author
Anton Blanchard
<anton@linux.ibm.com>
Sun, 15 Sep 2019 00:25:57 +0000
(10:25 +1000)
committer
Anton Blanchard
<anton@ozlabs.org>
Sun, 15 Sep 2019 00:38:49 +0000
(10:38 +1000)
Signed-off-by: Anton Blanchard <anton@linux.ibm.com>
fetch1.vhdl
patch
|
blob
|
history
diff --git
a/fetch1.vhdl
b/fetch1.vhdl
index 14ef8e8d1fa28dc91a09b64acd7013f9c81e2d80..ff7d64adca7c309554ee9aa0e08fee5f98423632 100644
(file)
--- a/
fetch1.vhdl
+++ b/
fetch1.vhdl
@@
-7,7
+7,7
@@
use work.common.all;
entity fetch1 is
generic(
- RESET_ADDRESS : std_logic_vector(63 downto 0)
+ RESET_ADDRESS : std_logic_vector(63 downto 0)
:= (others => '0')
);
port(
clk : in std_ulogic;