`define RGBTTL_WIDTH 18
`include "instance_defines.bsv"
import GetPut::*;
- import ClockDiv::*;
- import ConcatReg::*;
- import Semi_FIFOF::*;
import BUtils ::*;
import AXI4_Types::*;
interface Ifc_rgbttl_dummy;
- interface AXI4_Master_IFC#(`ADDR, `DATA, `USERSPACE) master;
+ interface AXI4_Master_IFC#(`ADDR, `DATA, `USERSPACE) master;
+ interface AXI4_Slave_IFC#(`ADDR, `DATA, `USERSPACE) slave;
interface Get#(Bit#(1)) de;
interface Get#(Bit#(1)) ck;
interface Get#(Bit#(1)) vs;
(*synthesize*)
module mkrgbttl_dummy(Ifc_rgbttl_dummy);
- AXI4_Lite_Slave_Xactor_IFC#(`ADDR,`DATA, `USERSPACE)
- s_xactor<-mkAXI4_Lite_Slave_Xactor();
+ AXI4_Slave_Xactor_IFC#(`ADDR,`DATA, `USERSPACE)
+ s_xactor<-mkAXI4_Slave_Xactor();
+ AXI4_Master_Xactor_IFC#(`ADDR,`DATA, `USERSPACE)
+ m_xactor<-mkAXI4_Master_Xactor();
Reg#(Bit#(1)) rg_de <- mkReg(0);
Reg#(Bit#(1)) rg_ck <- mkReg(0);
endmethod
endinterface;
- interface master=s_xactor.axi_side;
+ interface slave=s_xactor.axi_side;
+ interface master=m_xactor.axi_side;
endmodule
endpackage