soc: Fix -Whide warning
authorJoel Stanley <joel@jms.id.au>
Mon, 8 Aug 2022 10:54:54 +0000 (20:24 +0930)
committerJoel Stanley <joel@jms.id.au>
Mon, 8 Aug 2022 10:58:54 +0000 (20:28 +0930)
soc.vhdl:847:9:warning: declaration of "uart1" hides if generate statement [-Whide]
        uart1: uart_top
        ^

Signed-off-by: Joel Stanley <joel@jms.id.au>
soc.vhdl

index ec3a8a39ce1efc8e8bce16750d5016df967645d7..6842473a90e290ec450953b741c1006b1ea4a696 100644 (file)
--- a/soc.vhdl
+++ b/soc.vhdl
@@ -841,7 +841,7 @@ begin
     --
     -- Always 16550 if it exists
     --
-    uart1: if HAS_UART1 generate
+    uart1_16550: if HAS_UART1 generate
         signal irq_l : std_ulogic;
     begin
        uart1: uart_top