Add nonexclusive test from @cliffordwolf
authorEddie Hung <eddie@fpgeh.com>
Fri, 7 Jun 2019 18:54:29 +0000 (11:54 -0700)
committerEddie Hung <eddie@fpgeh.com>
Fri, 7 Jun 2019 18:54:29 +0000 (11:54 -0700)
tests/various/muxpack.v
tests/various/muxpack.ys

index 41dfed3960cb2cec5c8996555414f456a74c2713..f3c25db8d0c293514d9ed2e56b4370cda23e4b28 100644 (file)
@@ -153,3 +153,16 @@ always @*
     else
      o <= i[4*W+:W];
 endmodule
+
+module cliffordwolf_nonexclusive_select (
+        input wire x, y, z,
+        input wire a, b, c, d,
+        output reg o
+);
+        always @* begin
+                o = a;
+                if (x) o = b;
+                if (y) o = c;
+                if (z) o = d;
+        end
+endmodule
index dd3c143d8348448c50cfa5eba5f2eea069e7c0c5..7c3fe5070783c9a5365506499fcbed6ec13cdd29 100644 (file)
@@ -163,3 +163,18 @@ design -import gold -as gold
 design -import gate -as gate
 miter -equiv -flatten -make_assert -make_outputs gold gate miter
 sat -verify -prove-asserts -show-ports miter
+
+design -load read
+hierarchy -top cliffordwolf_nonexclusive_select
+prep
+design -save gold
+muxpack
+opt
+stat
+select -assert-count 0 t:$mux
+select -assert-count 1 t:$pmux
+design -stash gate
+design -import gold -as gold
+design -import gate -as gate
+miter -equiv -flatten -make_assert -make_outputs gold gate miter
+sat -verify -prove-asserts -show-ports miter