Reduce amount of trailing whitespace in code base
authorLarry Doolittle <ldoolitt@recycle.lbl.gov>
Tue, 26 Feb 2019 18:28:42 +0000 (10:28 -0800)
committerClifford Wolf <clifford@clifford.at>
Thu, 28 Feb 2019 22:58:11 +0000 (14:58 -0800)
backends/protobuf/protobuf.cc
misc/yosys.proto
passes/pmgen/pmgen.py
techlibs/achronix/speedster22i/cells_sim.v
techlibs/anlogic/anlogic_eqn.cc
techlibs/gowin/arith_map.v
techlibs/gowin/synth_gowin.cc
techlibs/intel/cycloneive/arith_map.v
techlibs/intel/cyclonev/cells_map.v

index f56147cef4c4749c233a3f8a379bb5f3cbf20b69..549fc73aea7c2efd62fb9d93d528060d5c209218 100644 (file)
@@ -48,7 +48,7 @@ struct ProtobufDesignSerializer
 
        ProtobufDesignSerializer(bool use_selection, bool aig_mode) :
                        aig_mode_(aig_mode), use_selection_(use_selection) { }
-       
+
        string get_name(IdString name)
        {
                return RTLIL::unescape_id(name);
@@ -60,7 +60,7 @@ struct ProtobufDesignSerializer
        {
                for (auto &param : parameters) {
                        std::string key = get_name(param.first);
-                       
+
 
                        yosys::pb::Parameter pb_param;
 
@@ -207,7 +207,7 @@ struct ProtobufDesignSerializer
                        (*models)[aig.name] = pb_model;
                }
        }
-       
+
        void serialize_design(yosys::pb::Design *pb, Design *design)
        {
                GOOGLE_PROTOBUF_VERIFY_VERSION;
index 2870176cbd6b14f6d2a8073f25231c8230d54209..a583e6265cf2b2eb823fdf108821eb7063f6859e 100644 (file)
@@ -1,12 +1,12 @@
 //
 // yosys -- Yosys Open SYnthesis Suite
-// 
+//
 // Copyright (C) 2018  Serge Bazanski <q3k@symbioticeda.com>
-// 
+//
 // Permission to use, copy, modify, and/or distribute this software for any
 // purpose with or without fee is hereby granted, provided that the above
 // copyright notice and this permission notice appear in all copies.
-// 
+//
 // THE SOFTWARE IS PROVIDED "AS IS" AND THE AUTHOR DISCLAIMS ALL WARRANTIES
 // WITH REGARD TO THIS SOFTWARE INCLUDING ALL IMPLIED WARRANTIES OF
 // MERCHANTABILITY AND FITNESS. IN NO EVENT SHALL THE AUTHOR BE LIABLE FOR
@@ -73,7 +73,7 @@ message Module {
         BitVector bits = 2;
     }
     map<string, Port> port = 2;
-    
+
     // Named cells in this module.
     message Cell {
         // Set to true when the name of this cell is automatically created and
@@ -129,7 +129,7 @@ message Model {
             TYPE_FALSE = 6;
         };
         Type type = 1;
-    
+
         message Port {
             // Name of port.
             string portname = 1;
@@ -148,7 +148,7 @@ message Model {
             // Set for AND, NAND.
             Gate gate = 3;
         }
-    
+
         // Set when the node drives given output port(s).
         message OutPort {
             // Name of port.
index 034ac27aa4389de152e2e7a4bcb16c42632fa1f7..762d8621fd59de79d37ee3176168cc8e30d28bc6 100644 (file)
@@ -85,7 +85,7 @@ with open(pmgfile, "r") as f:
         cmd = line.split()
         if len(cmd) == 0 or cmd[0].startswith("//"): continue
         cmd = cmd[0]
-        
+
         if cmd == "state":
             m = re.match(r"^state\s+<(.*?)>\s+(([A-Za-z_][A-Za-z_0-9]*\s+)*[A-Za-z_][A-Za-z_0-9]*)\s*$", line)
             assert m
index a94dce9b1a431ad28928c12e5903dfa84404f774..a0c60b4be052e9e1229f3f701fb868628929d083 100755 (executable)
@@ -30,7 +30,7 @@ endmodule
 module PADOUT (output padout, input padin, input oe);
    assign padout  = padin;
    assign oe = oe;
-endmodule 
+endmodule
 
 module LUT4 (output dout,
              input  din0, din1, din2, din3);
@@ -66,14 +66,14 @@ always @(dataa_w or datab_w or datac_w or datad_w) begin
                          datac_w, datad_w);
 end
 assign dout = combout_rt & 1'b1;
-endmodule 
+endmodule
 
 module DFF (output q,
             input  d, ck);
    reg             q;
    always @(posedge ck)
      q <= d;
-   
+
 endmodule
 
 
index c025c65c44d7733cce068019855a5aa3bfdfdad8..741bf04cc494025fcb6e53c7492b951094cd9055 100644 (file)
@@ -52,13 +52,13 @@ struct AnlogicEqnPass : public Pass {
                                                eqn += names[j];
                                        else
                                                eqn += std::string("~") + names[j];
-                                       
+
                                        if (j!=(inputs-1)) eqn += "*";
                                }
                                eqn += ")+";
                        }
                }
-               if (eqn.empty()) return Const("0");             
+               if (eqn.empty()) return Const("0");
                eqn = eqn.substr(0, eqn.length()-1);
                return Const(eqn);
        }
index 25e789e4ab5446c264f4bb9b8f4c42283ba77dee..e15de6423471b362672b1450cb0d493a573fdd04 100644 (file)
@@ -25,24 +25,24 @@ module _80_gw1n_alu(A, B, CI, BI, X, Y, CO);
    parameter A_WIDTH = 1;
    parameter B_WIDTH = 1;
    parameter Y_WIDTH = 1;
-   
+
    input [A_WIDTH-1:0] A;
    input [B_WIDTH-1:0] B;
    output [Y_WIDTH-1:0] X, Y;
-   
+
    input               CI, BI;
    output [Y_WIDTH-1:0] CO;
-   
+
    wire                _TECHMAP_FAIL_ = Y_WIDTH <= 2;
-   
+
    wire [Y_WIDTH-1:0]  A_buf, B_buf;
    \$pos #(.A_SIGNED(A_SIGNED), .A_WIDTH(A_WIDTH), .Y_WIDTH(Y_WIDTH)) A_conv (.A(A), .Y(A_buf));
    \$pos #(.A_SIGNED(B_SIGNED), .A_WIDTH(B_WIDTH), .Y_WIDTH(Y_WIDTH)) B_conv (.A(B), .Y(B_buf));
-   
+
    wire [Y_WIDTH-1:0]  AA = A_buf;
    wire [Y_WIDTH-1:0]  BB = BI ? ~B_buf : B_buf;
    wire [Y_WIDTH-1:0]  C = {CO, CI};
-   
+
    genvar              i;
    generate for (i = 0; i < Y_WIDTH; i = i + 1) begin:slice
       ALU #(.ALU_MODE(32'b0))
index 96128a6807272e702faf0ea197ccfc518dc76319..9a3fcdbb65d79fb1da06a60441c6494a812183e1 100644 (file)
@@ -111,7 +111,7 @@ struct SynthGowinPass : public ScriptPass
                        if (args[argidx] == "-noflatten") {
                                flatten = false;
                                continue;
-                       }                       
+                       }
                        break;
                }
                extra_args(args, argidx, design);
index b3a11272b3886c58ce1114cc0a6250cba182e4e9..49e36aa25191638319590ad8f40be45ced30d502 100644 (file)
@@ -32,7 +32,7 @@ module fa
    wire   VCC;
 
    assign VCC = 1'b1;
-   
+
    cycloneiv_lcell_comb gen_sum_0 (.combout(sum_x),
                                    .dataa(a_c),
                                    .datab(b_c),
@@ -40,7 +40,7 @@ module fa
                                    .datad(VCC));
    defparam syn__05_.lut_mask = 16'b1001011010010110;
    defparam syn__05_.sum_lutc_input = "datac";
-   
+
    cycloneiv_lcell_comb gen_cout_0 (.combout(cout_t),
                                     .dataa(cin_c),
                                     .datab(b_c),
@@ -48,11 +48,11 @@ module fa
                                     .datad(VCC));
    defparam syn__06_.lut_mask = 16'b1110000011100000;
    defparam syn__06_.sum_lutc_input = "datac";
-   
+
 endmodule // fa
 
 module f_stage();
-   
+
 endmodule // f_stage
 
 module f_end();
@@ -88,7 +88,7 @@ module _80_cycloneive_alu (A, B, CI, BI, X, Y, CO);
           .cin_c(C[0]),
           .cout_t(C0[1]),
           .sum_x(Y[0]));
-   
+
    genvar i;
    generate for (i = 1; i < Y_WIDTH; i = i + 1) begin:slice
       cycloneive_lcell_comb #(.lut_mask(16'b0101_1010_0101_0000), .sum_lutc_input("cin")) arith_cell (.combout(Y[i]), .cout(CO[i]), .dataa(BB[i]), .datab(1'b1), .datac(1'b1), .datad(1'b1), .cin(C[i]));
index bd60d4e1779161c37ffb27f27ba08c6c65774c73..f8d142bc9a901411508f86903c0991481b9a22c5 100644 (file)
@@ -76,7 +76,7 @@ module \$lut (A, Y);
    wire              VCC;
    wire              GND;
    assign {VCC,GND} = {1'b1,1'b0};
-   
+
    generate
       if (WIDTH == 1) begin
         assign Y = ~A[0]; // Not need to spend 1 logic cell for such an easy function
@@ -151,7 +151,7 @@ module \$lut (A, Y);
                     TODO: There's not a just 7-input function on Cyclone V, see the following note:
                     **Extended LUT Mode**
                     Use extended LUT mode to implement a specific set of 7-input functions. The set must
-                    be a 2-to-1 multiplexer fed by two arbitrary 5-input functions sharing four inputs. 
+                    be a 2-to-1 multiplexer fed by two arbitrary 5-input functions sharing four inputs.
                     [source](Device Interfaces and Integration Basics for Cyclone V Devices).
                   end*/
                   else