tests: add design -delete tests
authorEddie Hung <eddie@fpgeh.com>
Tue, 14 Apr 2020 17:34:23 +0000 (10:34 -0700)
committerEddie Hung <eddie@fpgeh.com>
Thu, 16 Apr 2020 15:05:18 +0000 (08:05 -0700)
tests/various/design.ys [new file with mode: 0644]
tests/various/design2.ys [new file with mode: 0644]

diff --git a/tests/various/design.ys b/tests/various/design.ys
new file mode 100644 (file)
index 0000000..f13ad81
--- /dev/null
@@ -0,0 +1,9 @@
+read_verilog <<EOT
+module top(input i, output o);
+assign o = i;
+endmodule
+EOT
+design -stash foo
+design -delete foo
+logger -expect error "No saved design 'foo' found!" 1
+design -delete foo
diff --git a/tests/various/design2.ys b/tests/various/design2.ys
new file mode 100644 (file)
index 0000000..3999990
--- /dev/null
@@ -0,0 +1,9 @@
+read_verilog <<EOT
+module top(input i, output o);
+assign o = i;
+endmodule
+EOT
+design -stash foo
+design -delete foo
+logger -expect error "No saved design 'foo' found!" 1
+design -load foo