vendor: style. NFC.
authorwhitequark <cz@m-labs.hk>
Wed, 21 Aug 2019 21:31:19 +0000 (21:31 +0000)
committerwhitequark <cz@m-labs.hk>
Wed, 21 Aug 2019 21:32:36 +0000 (21:32 +0000)
nmigen/vendor/lattice_ecp5.py
nmigen/vendor/lattice_ice40.py
nmigen/vendor/xilinx_7series.py
nmigen/vendor/xilinx_spartan_3_6.py

index d22a583ae0295430235fda32cd1530775c147dbb..0a31919fd7a5e2a8c4d664cd704252cc4ae13dfa 100644 (file)
@@ -23,9 +23,9 @@ class LatticeECP5Platform(TemplatedPlatform):
         * ``synth_opts``: adds options for ``synth_ecp5`` Yosys command.
         * ``script_after_read``: inserts commands after ``read_ilang`` in Yosys script.
         * ``script_after_synth``: inserts commands after ``synth_ecp5`` in Yosys script.
-        * ``yosys_opts``: adds extra options for Yosys.
-        * ``nextpnr_opts``: adds extra options for nextpnr.
-        * ``ecppack_opts``: adds extra options for ecppack.
+        * ``yosys_opts``: adds extra options for ``yosys``.
+        * ``nextpnr_opts``: adds extra options for ``nextpnr-ecp5``.
+        * ``ecppack_opts``: adds extra options for ``ecppack``.
 
     Build products:
         * ``{{name}}.rpt``: Yosys log.
index 1553bb4ae4d12f4ad082113ba69080902792b2d1..45aaac4114f01e23349ffed216a2186c9564dd2b 100644 (file)
@@ -23,8 +23,8 @@ class LatticeICE40Platform(TemplatedPlatform):
         * ``synth_opts``: adds options for ``synth_ice40`` Yosys command.
         * ``script_after_read``: inserts commands after ``read_ilang`` in Yosys script.
         * ``script_after_synth``: inserts commands after ``synth_ice40`` in Yosys script.
-        * ``yosys_opts``: adds extra options for Yosys.
-        * ``nextpnr_opts``: adds extra and overrides default options for nextpnr;
+        * ``yosys_opts``: adds extra options for ``yosys``.
+        * ``nextpnr_opts``: adds extra and overrides default options for ``nextpnr-ice40``;
           default options: ``--placer heap``.
 
     Build products:
index 02cfee9103c2a36cea87df656697b127b73b0d78..26b18ecbbe33a55947a0253a909950d9d739b985 100644 (file)
@@ -23,7 +23,7 @@ class Xilinx7SeriesPlatform(TemplatedPlatform):
         * ``script_before_bitstream``: inserts commands before ``write_bitstream`` in Tcl script.
         * ``script_after_bitstream``: inserts commands after ``write_bitstream`` in Tcl script.
         * ``add_constraints``: inserts commands in XDC file.
-        * ``vivado_opts``: adds extra options for Vivado.
+        * ``vivado_opts``: adds extra options for ``vivado``.
 
     Build products:
         * ``{{name}}.log``: Vivado log.
index ffda165c3c3180a7bf231001242dcd10f80d5e08..bda51168c87682b45e5c5c67ec80e84b4e4c2a79 100644 (file)
@@ -26,11 +26,11 @@ class XilinxSpartan3Or6Platform(TemplatedPlatform):
     Available overrides:
         * ``script_after_run``: inserts commands after ``run`` in XST script.
         * ``add_constraints``: inserts commands in UCF file.
-        * ``xst_opts``: adds extra options for XST.
-        * ``ngdbuild_opts``: adds extra options for NGDBuild.
-        * ``map_opts``: adds extra options for MAP.
-        * ``par_opts``: adds extra options for PAR.
-        * ``bitgen_opts``: adds extra and overrides default options for BitGen;
+        * ``xst_opts``: adds extra options for ``xst``.
+        * ``ngdbuild_opts``: adds extra options for ``ngdbuild``.
+        * ``map_opts``: adds extra options for ``map``.
+        * ``par_opts``: adds extra options for ``par``.
+        * ``bitgen_opts``: adds extra and overrides default options for ``bitgen``;
           default options: ``-g Compress``.
 
     Build products: