litedram: Update to latest LiteX/LiteDRAM version
authorBenjamin Herrenschmidt <benh@kernel.crashing.org>
Mon, 1 Jun 2020 13:58:47 +0000 (23:58 +1000)
committerBenjamin Herrenschmidt <benh@kernel.crashing.org>
Fri, 5 Jun 2020 00:33:27 +0000 (10:33 +1000)
Signed-off-by: Benjamin Herrenschmidt <benh@kernel.crashing.org>
litedram/gen-src/arty.yml
litedram/gen-src/generate.py
litedram/gen-src/nexys-video.yml
litedram/generated/arty/litedram-initmem.vhdl
litedram/generated/arty/litedram_core.init
litedram/generated/arty/litedram_core.v
litedram/generated/nexys-video/litedram_core.init
litedram/generated/nexys-video/litedram_core.v
litedram/generated/sim/litedram-initmem.vhdl
litedram/generated/sim/litedram_core.init
litedram/generated/sim/litedram_core.v

index a4c982b9a84df016aa8ea74f6d5b9c007d781130..40211f03d3dac150194c522ea2d5aa96903c2879 100644 (file)
@@ -38,4 +38,5 @@
 
     # CSR Port -----------------------------------------------------------------
     "csr_base"  : 0xc0100000, # For cpu=None only
+    csr_data_width : 32,
 }
index 1c8069cfdc925ba255ac3e6cfb43fb8010eff778..7dcfc4eae2beb339a2df7635716a8bf2b8ebecb7 100755 (executable)
@@ -38,7 +38,7 @@ def build_init_code(build_dir, is_sim):
     sw_inc_dir = os.path.join(sw_dir, "include")
     gen_inc_dir = os.path.join(sw_inc_dir, "generated")
     src_dir = os.path.join(gen_src_dir, "sdram_init")
-    lxbios_src_dir = os.path.join(soc_directory, "software", "bios")
+    lxbios_src_dir = os.path.join(soc_directory, "software", "liblitedram")
     lxbios_inc_dir = os.path.join(soc_directory, "software", "include")
     print("     sw dir:", sw_dir)
     print("gen_inc_dir:", gen_inc_dir)
@@ -109,6 +109,7 @@ def generate_one(t, mw_init):
     # Override values for mw_init
     if mw_init:
         core_config["cpu"] = None
+        core_config["cpu_variant"] = "standard"
         core_config["csr_alignment"] = 64
 
     # Generate core
@@ -121,7 +122,7 @@ def generate_one(t, mw_init):
     else:
         raise ValueError("Unsupported SDRAM PHY: {}".format(core_config["sdram_phy"]))
 
-    soc      = LiteDRAMCore(platform, core_config, is_sim = is_sim, integrated_rom_size=0x6000, csr_data_width=32)
+    soc      = LiteDRAMCore(platform, core_config, is_sim = is_sim, integrated_rom_size=0x6000)
 
     # Build into build_dir
     builder  = Builder(soc, output_dir=build_dir, compile_gateware=False)
index 23c1ce4e15ce3e633314d21b61586514d284ee4c..f9f8f097528536fa25df91f80b52e0961a7793d9 100644 (file)
@@ -38,4 +38,5 @@
 
     # CSR Port -----------------------------------------------------------------
     "csr_base"  : 0xc0100000, # For cpu=None only
+    csr_data_width : 32,
 }
index 717e4b6bc94d0a95da266a3a692d20002c834f5e..13bd0ce141dcfed6f0c9f81ca51f22ab42dae597 100644 (file)
@@ -14,8 +14,8 @@ entity dram_init_mem is
         );
     port (
         clk     : in std_ulogic;
-        wb_in  : in wb_io_master_out;
-        wb_out : out wb_io_slave_out
+        wb_in   : in wb_io_master_out;
+        wb_out  : out wb_io_slave_out
       );
 end entity dram_init_mem;
 
@@ -47,27 +47,27 @@ architecture rtl of dram_init_mem is
     end procedure;
 
     impure function init_load_ram(name : string) return ram_t is
-       file ram_file : text open read_mode is name;
-       variable temp_word : std_logic_vector(63 downto 0);
-       variable temp_ram : ram_t := (others => (others => '0'));
-       variable ram_line : line;
+        file ram_file : text open read_mode is name;
+        variable temp_word : std_logic_vector(63 downto 0);
+        variable temp_ram : ram_t := (others => (others => '0'));
+        variable ram_line : line;
     begin
         report "Payload size:" & integer'image(EXTRA_PAYLOAD_SIZE) &
             " rounded to:" & integer'image(RND_PAYLOAD_SIZE);
         report "Total RAM size:" & integer'image(TOTAL_RAM_SIZE) &
             " bytes using " & integer'image(INIT_RAM_ABITS) &
             " address bits";
-       for i in 0 to (INIT_RAM_SIZE/8)-1 loop
-           exit when endfile(ram_file);
-           readline(ram_file, ram_line);
-           hread(ram_line, temp_word);
-           temp_ram(i*2) := temp_word(31 downto 0);
-           temp_ram(i*2+1) := temp_word(63 downto 32);
-       end loop;
+        for i in 0 to (INIT_RAM_SIZE/8)-1 loop
+            exit when endfile(ram_file);
+            readline(ram_file, ram_line);
+            hread(ram_line, temp_word);
+            temp_ram(i*2) := temp_word(31 downto 0);
+            temp_ram(i*2+1) := temp_word(63 downto 32);
+        end loop;
         if RND_PAYLOAD_SIZE /= 0 then
             init_load_payload(temp_ram, EXTRA_PAYLOAD_FILE);
         end if;
-       return temp_ram;
+        return temp_ram;
     end function;
 
     impure function init_zero return ram_t is
@@ -95,27 +95,27 @@ architecture rtl of dram_init_mem is
 begin
 
     init_ram_0: process(clk)
-       variable adr  : integer;
+        variable adr  : integer;
     begin
-       if rising_edge(clk) then
-           oack <= '0';
-           if (wb_in.cyc and wb_in.stb) = '1' then
-               adr := to_integer((unsigned(wb_in.adr(INIT_RAM_ABITS-1 downto 2))));
-               if wb_in.we = '0' then
-                  obuf <= init_ram(adr);
-               else
-                   for i in 0 to 3 loop
-                       if wb_in.sel(i) = '1' then
-                           init_ram(adr)(((i + 1) * 8) - 1 downto i * 8) <=
-                               wb_in.dat(((i + 1) * 8) - 1 downto i * 8);
-                       end if;
-                   end loop;
-               end if;
-               oack <= '1';
-           end if;
+        if rising_edge(clk) then
+            oack <= '0';
+            if (wb_in.cyc and wb_in.stb) = '1' then
+                adr := to_integer((unsigned(wb_in.adr(INIT_RAM_ABITS-1 downto 2))));
+                if wb_in.we = '0' then
+                   obuf <= init_ram(adr);
+                else
+                    for i in 0 to 3 loop
+                        if wb_in.sel(i) = '1' then
+                            init_ram(adr)(((i + 1) * 8) - 1 downto i * 8) <=
+                                wb_in.dat(((i + 1) * 8) - 1 downto i * 8);
+                        end if;
+                    end loop;
+                end if;
+                oack <= '1';
+            end if;
             wb_out.ack <= oack;
             wb_out.dat <= obuf;
-       end if;
+        end if;
     end process;
 
     wb_out.stall <= '0';
index 2325bba58db253d83730e9efe4f3156347d95789..d20e71020cf613c2cc5a65c09523c6395b716c89 100644 (file)
@@ -510,7 +510,7 @@ a64b5a7d14004a39
 0000000000000000
 0000000000000000
 0000000000000000
-3842a2003c4c0001
+3842a1003c4c0001
 fbc1fff07c0802a6
 f8010010fbe1fff8
 3be10020f821fe91
@@ -519,11 +519,11 @@ f8c101a838800140
 38c101987c651b78
 7fe3fb78f8e101b0
 f92101c0f90101b8
-480017a5f94101c8
+48001735f94101c8
 7c7e1b7860000000
-480012bd7fe3fb78
+4800124d7fe3fb78
 3821017060000000
-48001d647fc3f378
+48001cf47fc3f378
 0100000000000000
 4e80002000000280
 0000000000000000
@@ -531,76 +531,76 @@ f92101c0f90101b8
 4e8000204c00012c
 0000000000000000
 3c4c000100000000
-7c0802a63842a15c
+7c0802a63842a05c
 7d800026fbe1fff8
 91810008f8010010
-480011b1f821ff91
+48001141f821ff91
 3c62ffff60000000
-4bffff3538637be8
+4bffff3538637c78
 548400023880ffff
 7c8026ea7c0004ac
 3fe0c0003c62ffff
-63ff000838637c08
+63ff000838637c98
 3c62ffff4bffff11
-38637c287bff0020
+38637cb87bff0020
 7c0004ac4bffff01
 73e900017fe0feea
 3c62ffff41820010
-4bfffee538637c40
+4bfffee538637cd0
 4d80000073e90002
 3c62ffff41820010
-4bfffecd38637c48
+4bfffecd38637cd8
 4e00000073e90004
 3c62ffff41820010
-4bfffeb538637c50
-3bff7f283fe2ffff
+4bfffeb538637ce0
+3bff7f203fe2ffff
 4bfffea57fe3fb78
 3c80c00041920028
 7884002060840010
 7c8026ea7c0004ac
 7884b2823c62ffff
-4bfffe7d38637c58
+4bfffe7d38637ce8
 3c80c000418e004c
 7884002060840018
 7c8026ea7c0004ac
 788465023c62ffff
-4bfffe5538637c78
+4bfffe5538637d08
 608400303c80c000
 7c0004ac78840020
 3c62ffff7c8026ea
-38637c987884b282
+38637d287884b282
 3d20c0004bfffe31
 7929002061290020
 7d204eea7c0004ac
 3c62ffff3c80000f
-38637cb860844240
+38637d4860844240
 4bfffe057c892392
 4bfffdfd7fe3fb78
 3ca2ffff418e0028
 3c62ffff3c82ffff
-38847ce838a57cd8
-4bfffddd38637cf0
-6000000048000e95
+38847d7838a57d68
+4bfffddd38637d80
+6000000048000e29
 3c62ffff41920020
-4bfffdc538637d20
+4bfffdc538637db0
 8181000838210070
-48001b807d818120
-38637d383c62ffff
+48001b107d818120
+38637dc83c62ffff
 3c80f0004bfffda9
 6084400038a0ffff
 7884002054a50422
-480012553c604000
+480011e53c604000
 3c62ffff60000000
-4bfffd7d38637d58
+4bfffd7d38637de8
 e801001038210070
 ebe1fff881810008
 7d8181207c0803a6
 000000004bfffde4
 0000018003000000
-612908083d20c010
+612908043d20c010
 7c0004ac79290020
 3d40c0107c604f2a
-614a081039200001
+614a080839200001
 7c0004ac794a0020
 4e8000207d20572a
 0000000000000000
@@ -610,29 +610,29 @@ ebe1fff881810008
 4e8000204200fffc
 0000000000000000
 3d20c01000000000
-6129002839400001
+6129001439400001
 792900207d431830
 7c604f2a7c0004ac
-610800303d00c010
+610800183d00c010
 7c0004ac79080020
 394000007d40472a
 7d404f2a7c0004ac
 000000004e800020
 0000000000000000
 394000013d20c010
-7d43183061290028
+7d43183061290014
 7c0004ac79290020
 3d00c0107c604f2a
-7908002061080038
+790800206108001c
 7d40472a7c0004ac
 7c0004ac39400000
 4e8000207d404f2a
 0000000000000000
 3d40c01000000000
-614a086839200025
+614a083439200025
 7c0004ac794a0020
 3d40c0107d20572a
-614a087039200001
+614a083839200001
 7c0004ac794a0020
 4e8000207d20572a
 0000000000000000
@@ -653,10 +653,10 @@ ebe1fff881810008
 9864000099240001
 000000004e800020
 0000000000000000
-38429d883c4c0001
-480018c57c0802a6
+38429c883c4c0001
+480018557c0802a6
 7c7e1b78f821ff21
-38637ec03c62ffff
+38637eb83c62ffff
 600000004bfffb71
 390100603ca08020
 3940000460a50003
@@ -670,45 +670,45 @@ ebe1fff881810008
 394affff4200ffe0
 794a002139080004
 3d20c0104082ffc4
-612908183be00000
+6129080c3be00000
 7c0004ac79290020
 3d20c0107fe04f2a
-7929002061290820
+7929002061290810
 7fe04f2a7c0004ac
 4bfffd8d38600009
 4bfffdc13860000f
 7fa4eb783c60c010
-7863002060630828
+7863002060630814
 3c60c0104bfffead
-6063085838810064
+6063082c38810064
 4bfffe9978630020
 388100683c60c010
-7863002060630888
+7863002060630844
 3c60c0104bfffe85
-606308b83881006c
+6063085c3881006c
 4bfffe7178630020
-612908a83d20c010
+612908543d20c010
 7c0004ac79290020
 3d20c0107fe04f2a
-79290020612908b0
+7929002061290858
 7fe04f2a7c0004ac
 392000173d40c010
-794a0020614a0898
+794a0020614a084c
 7d20572a7c0004ac
 392000013d40c010
-794a0020614a08a0
+794a0020614a0850
 7d20572a7c0004ac
-612908783d20c010
+6129083c3d20c010
 7c0004ac79290020
 3d20c0107fe04f2a
-7929002061290880
+7929002061290840
 7fe04f2a7c0004ac
 22de00017fc3f378
 213e00034bfffd0d
 793500203ee2ffff
 7d2907b47ed607b4
 3b0100703be00000
-7f3db2143af77ee8
+7f3db2143af77ee0
 7f5d4a147ebdaa14
 3860000f4bfffd75
 4bfffca93b800000
@@ -749,349 +749,335 @@ ebe1fff881810008
 4bffffcc3b400000
 7fbfe2142f9f0020
 409e006c7fbd0e70
-38637ed03c62ffff
+38637ec83c62ffff
 600000004bfff889
 3be000007fc3f378
 7f9fe8004bfffb8d
 3d40c010419c0070
-614a081839200000
+614a080c39200000
 7c0004ac794a0020
 3d40c0107d20572a
-794a0020614a0820
+794a0020614a0810
 7d20572a7c0004ac
 4bfffaed3860000b
 4bfffb213860000f
-480015bc382100e0
+4800154c382100e0
 3c62ffff7cbfe050
 7ca501947ca50e70
-38637ed87fa4eb78
+38637ed07fa4eb78
 4bfff80d7ca507b4
 4bffff8460000000
 3bff00017fc3f378
 7fff07b44bfffb59
 000000004bffff7c
 00000b8001000000
-384299d83c4c0001
+384298d83c4c0001
 3d40c0107c0802a6
 3920000e614a0800
 f8010010794a0020
 7c0004acf821ffa1
 3c62ffff7d20572a
-4bfff7ad38637f98
+4bfff7ad38637f90
 3821006060000000
 7c0803a6e8010010
 000000004e800020
 0000008001000000
-384299803c4c0001
+384298803c4c0001
 3d40c0107c0802a6
 39200001614a0800
 f8010010794a0020
 7c0004acf821ffa1
 3c62ffff7d20572a
-4bfff75538637f08
+4bfff75538637f00
 3821006060000000
 7c0803a6e8010010
 000000004e800020
 0000008001000000
-384299283c4c0001
-3c62ffff7c0802a6
-4800147538637d70
-4bfff715f821ff71
-3900008060000000
-7d0903a63d40aaaa
+384298283c4c0001
+390000807c0802a6
+3d40aaaa7d0903a6
 614aaaaa3d204000
+f821ff8148001401
 3929000491490000
-3f60aaaa4200fff8
-600000004bfff75d
-3f82ffff3fa0aaaa
-3bc00000637baaaa
-63bdaaaa3be00000
-7b7b00203b9c7d80
-7fc407b43d3e1000
-80a9000079291764
-419e001c7f85e800
-7f66db783bff0001
-7fff07b47f83e378
-600000004bfff699
-2bbe00803bde0001
-39000080409effc8
-7d0903a63d405555
-614a55553d204000
-3929000491490000
-4bfff6d94200fff8
-3fa0555560000000
-3f6055553f82ffff
-63bd55553bc00000
-637b55553b9c7da0
-7fc407b43d3e1000
-80a9000079291764
-419e001c7f85e800
-7f66db783bff0001
-7fff07b47f83e378
-600000004bfff619
-2bbe00803bde0001
-2fbf0000409effc8
+4bfff7714200fff8
+3940008060000000
+7d4903a63d00aaaa
+3be000003d204000
+814900006108aaaa
+419e000c7f8a4000
+7fff07b43bff0001
+4200ffe839290004
+3d40555539000080
+3d2040007d0903a6
+91490000614a5555
+4200fff839290004
+600000004bfff715
+3d00555539400080
+3d2040007d4903a6
+8149000061085555
+419e000c7f8a4000
+7fff07b43bff0001
+4200ffe839290004
+419e001c2fbf0000
+38a001003c62ffff
+38637e007fe4fb78
+600000004bfff651
+3ce080203d000008
+60e700037d0903a6
+392000013d404000
+7928f84278e70020
+7d2900d0792907e0
+7d293838394a0004
+912afffc7d294278
+4bfff6814200ffe4
+3d00000860000000
+7d0903a63ce08020
+3d40400060e70003
+392000013ba00000
+7928f84278e70020
+7d2900d0792907e0
+7d2942787d293838
+7f884840810a0000
+3bbd0001419e000c
+394a00047fbd07b4
+2fbd00004200ffd4
 3c62ffff419e001c
-7fe4fb7838a00100
-4bfff5ed38637dc0
-3c62ffff60000000
-38637de83fc2ffff
-4bfff5d53bde7e00
-3d40000860000000
-7d4903a63d204000
-3948000139000001
-7948002039290004
-4200fff09149fffc
-600000004bfff61d
-392000003d400008
-38c000017d4903a6
-394600013d091000
-7d2407b479081764
-80a8000079460020
-419e001c7f8a2840
-4bfff56d7fc3f378
-3860271060000000
-4bffff904bfff84d
-4200ffc439290001
-38637e203c62ffff
-600000004bfff549
-3940000039202000
-3d2a10007d2903a6
-3929000279480020
-79291764394a0001
-4200ffe891090000
-600000004bfff58d
-3ba000003f82ffff
-3b9c7e383bc00000
-7fa607b43d3d1000
+7fa4eb783ca00008
+4bfff59d38637e28
+3920200060000000
+7d2903a639400000
+794800203d2a1000
+394a000139290002
+9109000079291764
+4bfff5e14200ffe8
+3920200060000000
+7d2903a639400000
+3d2a10003bc00000
 8129000879291764
-7f8930005529043e
-419e001c7d2507b4
-7cc433783bde0001
-7fde07b47f83e378
-600000004bfff4d1
-2bbd20003bbd0001
-2fbe0000409effc0
+7f8950005529043e
+3bde0001419e000c
+394a00017fde07b4
+2fbe00004200ffdc
 3c62ffff419e001c
 7fc4f37838a02000
-4bfff4a538637e58
-7ffff21460000000
-2f9f000038600000
-3c62ffff409e00a8
-4bfff48538637e80
-7c9602a660000000
-788400203d400008
-392000007d4903a6
-792800203d491000
-39290001794a1764
-4200ffec910a0000
+4bfff51538637e50
+7fffea1460000000
+7ffff21438600000
+409e00a42f9f0000
+38637e783c62ffff
+600000004bfff4f1
+3d4000047c9602a6
+7d4903a678840020
+3d49080039200000
+f92a0000794a1f24
+4200fff039290001
 7c9f20507ff602a6
 7fff23963fe06400
-600000004bfff4b5
+600000004bfff525
 7d3602a67bff0020
-792900203d000008
+792900203d000004
 3d4040007d0903a6
-394a0004810a0000
+394a0008e90a0000
 7cb602a64200fff8
 3ca064007d254850
 3c62ffff7ca54b96
-38637e907fe4fb78
-4bfff3f578a50060
+38637e887fe4fb78
+4bfff46578a50060
 3860000160000000
-4800118c38210090
+4800119438210080
 0100000000000000
-3c4c000100000580
-7c0802a6384295cc
-480010e938600000
-3fe0c010f821fec1
-63ff00283bc00001
-4bfff6c17bff0020
-7fc0ff2a7c0004ac
-639c00403f80c010
-7c0004ac7b9c0020
-3ba000007fc0e72a
+3c4c000100000380
+7c0802a63842953c
+f821fec1480010ed
+3bc000013fe0c010
+7bff002063ff0014
+386000004bfffc49
+7c0004ac4bfff72d
+3f80c0107fc0ff2a
+7b9c0020639c0020
+7fc0e72a7c0004ac
+7c0004ac3ba00000
+386000017fa0ff2a
+392000024bfff6fd
+7d20ff2a7c0004ac
+7fc0e72a7c0004ac
 7fa0ff2a7c0004ac
-4bfff69138600001
-7c0004ac39200002
-7c0004ac7d20ff2a
-7c0004ac7fc0e72a
-3c62ffff7fa0ff2a
-38637f483b810070
-4bfff34d3e02ffff
-3d22ffff60000000
-3de2fffffb810080
-3dc2ffff39297f58
-3ae100633e42ffff
-3ac10061f9210098
-3a107ee83be00000
-39ce7f7039ef7f68
-392100643a527f28
-3e80c0103b200001
-f92100883ea0c010
-7f39f83039210068
-62b5082062940818
-3bc000007b330020
-3b000000f9210090
-7a9400203ba00000
-480000547ab50020
-2f9d000f7fbeeb78
-3d20c010419e029c
-7929002061290028
-7e604f2a7c0004ac
-394000013d00c010
-7908002061080048
-7d40472a7c0004ac
-7c0004ac39400000
-3bbd00017d404f2a
-7fbd07b47f78db78
-3900000439410060
-7d5a53783920002a
-38c0000038e00004
-3ca080207ce903a6
-60a500037927f842
-7d2900d0792907e0
-7d29283878a50020
-78e900207d273a78
-38c600017cea31ae
-3908ffff4200ffd4
-79080021394a0004
-3b6000004082ffb8
-7f60a72a7c0004ac
-7f60af2a7c0004ac
-4bfff4b538600009
-4bfff4e93860000f
-7f44d3783c60c010
-7863002060630828
-e88100884bfff5d5
-606308583c60c010
-4bfff5c178630020
-3c60c010e8810090
-7863002060630888
-3c60c0104bfff5ad
-606308b83881006c
-4bfff59978630020
-612908a83d20c010
+3b8100703c62ffff
+3e02ffff38637f40
+600000004bfff3b9
+fb8100803d22ffff
+39297f503de2ffff
+3e42ffff3dc2ffff
+f92100983ae10063
+3be000003ac10061
+39ef7f603a107ee0
+3a527f2039ce7f68
+3b20000139210064
+3ea0c0103e80c010
+39210068f9210088
+6294080c7f39f830
+7b33002062b50810
+f92100903bc00000
+3ba000003b000000
+7ab500207a940020
+7fbeeb7848000054
+419e029c2f9d000f
+612900143d20c010
 7c0004ac79290020
-3d20c0107f604f2a
-79290020612908b0
+3d00c0107e604f2a
+6108002439400001
+7c0004ac79080020
+394000007d40472a
+7d404f2a7c0004ac
+7f78db783bbd0001
+394100607fbd07b4
+3920002a39000004
+38e000047d5a5378
+7ce903a638c00000
+7927f8423ca08020
+792907e060a50003
+78a500207d2900d0
+7d273a787d292838
+7cea31ae78e90020
+4200ffd438c60001
+394a00043908ffff
+4082ffb879080021
+7c0004ac3b600000
+7c0004ac7f60a72a
+386000097f60af2a
+3860000f4bfff521
+3c60c0104bfff555
+606308147f44d378
+4bfff64178630020
+3c60c010e8810088
+786300206063082c
+e88100904bfff62d
+606308443c60c010
+4bfff61978630020
+3881006c3c60c010
+786300206063085c
+3d20c0104bfff605
+7929002061290854
 7f604f2a7c0004ac
-392000173d40c010
-794a0020614a0898
-7d20572a7c0004ac
-392000013d40c010
-794a0020614a08a0
-7d20572a7c0004ac
-612908783d20c010
+612908583d20c010
 7c0004ac79290020
-3d20c0107f604f2a
-7929002061290880
+3d40c0107f604f2a
+614a084c39200017
+7c0004ac794a0020
+3d40c0107d20572a
+614a085039200001
+7c0004ac794a0020
+3d20c0107d20572a
+792900206129083c
 7f604f2a7c0004ac
-7fa5eb78e8610098
-3b4000207fe4fb78
-4bfff1153b600000
-7fe3fb7860000000
-4bfff4b14bfff41d
-3a2000013860000f
-394000004bfff3e5
-e881008079480fa4
-7c70402af94100a0
-e94100a04bfff519
-7d1650ae88fc0001
-409e00a07f883800
-88fc00037d1750ae
-409e00907f883800
-2baa0010394a0004
-7e248b78409effc0
-4bfff0a57de37b78
-3b5affff60000000
-4bfff3f57fe3fb78
-7f7b8a147b5a0021
-4082ff807f7b07b4
-4bfff07d7dc37378
-3920000060000000
-7d20a72a7c0004ac
-7d20af2a7c0004ac
-4bfff30d3860000b
-4bfff3413860000f
-4bfff4c57fe3fb78
-4bfff0457e439378
-7f98d80060000000
-7f1bc378419cfd70
-3a2000004bfffd6c
-3c62ffff4bffff70
-7fe4fb787fc5f378
-4bfff01538637f78
-3d20c01060000000
-7929002061290028
-7f204f2a7c0004ac
-394000013d00c010
-7908002061080040
-7d40472a7c0004ac
-7c0004ac39400000
-7bde00207d404f2a
-38de00013d00c010
-7cc903a661080048
-7908002039400001
-4200003438e00000
-3af7ffff7fe3fb78
-7e4393784bfff421
-4bffef9d3b9cffff
-2f9f000160000000
-419e00283ad6ffff
-4bfffc783be00001
-7e604f2a7c0004ac
-7d40472a7c0004ac
-7ce04f2a7c0004ac
-382101404bffffb4
-48000cd038600001
-0100000000000000
-3c4c000100001280
-7c0802a638429144
-38637f303c62ffff
-f821ff7148000c8d
-3be000003f60c010
-7b7b0020637b1000
-600000004bffef21
-7fe0df2a7c0004ac
-635a10083f40c010
-7c0004ac7b5a0020
-3fa0c0107fe0d72a
-63bd08184bfff721
+612908403d20c010
+7c0004ac79290020
+e86100987f604f2a
+7fe4fb787fa5eb78
+3b6000003b400020
+600000004bfff181
+4bfff4897fe3fb78
+3860000f4bfff51d
+4bfff4513a200001
+79480fa439400000
+f94100a0e8810080
+4bfff5857c70402a
+88fc0001e94100a0
+7f8838007d1650ae
+7d1750ae409e00a0
+7f88380088fc0003
+394a0004409e0090
+409effc02baa0010
+7de37b787e248b78
+600000004bfff111
+7fe3fb783b5affff
+7b5a00214bfff461
+7f7b07b47f7b8a14
+7dc373784082ff80
+600000004bfff0e9
+7c0004ac39200000
+7c0004ac7d20a72a
+3860000b7d20af2a
+3860000f4bfff379
+7fe3fb784bfff3ad
+7e4393784bfff531
+600000004bfff0b1
+419cfd707f98d800
+4bfffd6c7f1bc378
+4bffff703a200000
+7fc5f3783c62ffff
+38637f707fe4fb78
+600000004bfff081
+612900143d20c010
+7c0004ac79290020
+3d00c0107f204f2a
+6108002039400001
+7c0004ac79080020
+394000007d40472a
+7d404f2a7c0004ac
+3d00c0107bde0020
+6108002438de0001
+394000017cc903a6
+38e0000079080020
+7fe3fb7842000034
+4bfff48d3af7ffff
+3b9cffff7e439378
+600000004bfff009
+3ad6ffff2f9f0001
+3be00001419e0028
+7c0004ac4bfffc78
+7c0004ac7e604f2a
+7c0004ac7d40472a
+4bffffb47ce04f2a
+3860000138210140
+0000000048000ccc
+0000128001000000
+384290b03c4c0001
+3c62ffff7c0802a6
+48000c8938637f28
+3f60c010f821ff71
+637b10003be00000
+4bffef8d7b7b0020
+7c0004ac60000000
+3f40c0107fe0df2a
+7b5a0020635a1004
+7fe0d72a7c0004ac
+63bd080c3fa0c010
 7c0004ac7bbd0020
 3fc0c0107fe0ef2a
-7bde002063de0820
+7bde002063de0810
 7fe0f72a7c0004ac
 3920000c3f80c010
 7b9c0020639c0800
 7d20e72a7c0004ac
 6063c35038600000
-7c0004ac4bfff19d
+7c0004ac4bfff20d
 7c0004ac7fe0ef2a
 3920000e7fe0f72a
 7d20e72a7c0004ac
-4bfff17938602710
+4bfff1e938602710
 7c0004ac39200200
 392000027d20ef2a
 7d20f72a7c0004ac
-4bfff11d3860000f
+4bfff18d3860000f
 7fe0ef2a7c0004ac
 7c0004ac39200003
 3860000f7d20f72a
-392000064bfff101
+392000064bfff171
 7d20ef2a7c0004ac
 7c0004ac3b800001
 3860000f7f80f72a
-392009204bfff0e1
+392009204bfff151
 7d20ef2a7c0004ac
 7fe0f72a7c0004ac
-4bfff0c53860000f
-4bfff0f9386000c8
+4bfff1353860000f
+4bfff169386000c8
 7c0004ac39200400
 7c0004ac7d20ef2a
 386000037fe0f72a
-386000c84bfff0a1
-4bfffa194bfff0d5
-4bfff6b54bfff661
+386000c84bfff111
+4bfffa194bfff145
+4bfff7254bfff6d1
 4082001c2c230000
 7f80df2a7c0004ac
 7f80d72a7c0004ac
@@ -1100,27 +1086,27 @@ f821ff7148000c8d
 4bffffec38600001
 0100000000000000
 3c4c000100000680
-3d20c00038428f94
+3d20c00038428f04
 6129200060000000
-f922801079290020
+f922800879290020
 612900203d20c000
 7c0004ac79290020
 3d40001c7d204eea
 7d295392614a2000
-394a0018e9428010
+394a0018e9428008
 7c0004ac3929ffff
 4e8000207d2057ea
 0000000000000000
 3c4c000100000000
-6000000038428f34
-39290010e9228010
+6000000038428ea4
+39290010e9228008
 7d204eea7c0004ac
 4082ffe871290008
-e94280105469063e
+e94280085469063e
 7d2057ea7c0004ac
 000000004e800020
 0000000000000000
-38428ef03c4c0001
+38428e603c4c0001
 fbc1fff07c0802a6
 3bc3fffffbe1fff8
 f821ffd1f8010010
@@ -1194,7 +1180,7 @@ f924000039290002
 7c6307b43863ffe0
 000000004e800020
 0000000000000000
-38428ca03c4c0001
+38428c103c4c0001
 3d2037367c0802a6
 612935347d908026
 65293332792907c6
@@ -1228,7 +1214,7 @@ fbfd00007fe9fa14
 4bfffff07d29f392
 0300000000000000
 3c4c000100000580
-7c0802a638428b94
+7c0802a638428b04
 f821ffb1480006e9
 7c7f1b78eb630000
 7cbd2b787c9c2378
@@ -1244,7 +1230,7 @@ f821ffb1480006e9
 4bffffb8f93f0000
 0100000000000000
 3c4c000100000580
-7c0802a638428b14
+7c0802a638428a84
 f821ffa148000661
 7c9b23787c7d1b78
 388000007ca32b78
@@ -1275,7 +1261,7 @@ e95d00009b270000
 f95d0000394a0001
 000000004bffffa8
 0000078001000000
-38428a183c4c0001
+384289883c4c0001
 480005397c0802a6
 7c741b79f821fed1
 38600000f8610060
@@ -1284,7 +1270,7 @@ f95d0000394a0001
 3ac4ffff3e42ffff
 f92100703b410020
 3ae0000060000000
-3a527fc039228008
+3a527fb839228000
 f92100783ba10060
 ebc1006089250000
 419e00102fa90000
@@ -1497,9 +1483,9 @@ e8010010ebc1fff0
 203a4b4c43202020
 7a484d20646c6c25
 000000000000000a
-6131333764343635
+3163616539333236
 0000000000000000
-0033306536316430
+0039326232623162
 4d4152446574694c
 6620746c69756220
 6567694d206d6f72
@@ -1516,35 +1502,16 @@ e8010010ebc1fff0
 20676e69746f6f42
 415244206d6f7266
 0000000a2e2e2e4d
-20747365746d656d
-000a2e2e2e737562
-7830203a7375625b
-7830203a5d783025
-2073762078383025
-000a783830257830
-257830207375625b
-257830203a5d7830
-3020737620783830
-00000a7838302578
 20747365746d654d
 6c69616620737562
 252f6425203a6465
 73726f7272652064
 000000000000000a
-20747365746d656d
-0a2e2e2e61746164
-0000000000000000
-783020617461645b
-7830203a5d783025
-2073762078383025
-000a783830257830
-20747365746d656d
-0a2e2e2e72646461
-0000000000000000
-783020726464615b
-7830203a5d783025
-2073762078383025
-000a783830257830
+20747365746d654d
+6961662061746164
+2f6425203a64656c
+726f727265206425
+0000000000000a73
 20747365746d654d
 6961662072646461
 2f6425203a64656c
@@ -1563,10 +1530,10 @@ e8010010ebc1fff0
 000000000000002d
 30252d2b64323025
 0000000000006432
+00000000c0100818
 00000000c0100830
+00000000c0100848
 00000000c0100860
-00000000c0100890
-00000000c01008c0
 6f6e204d41524453
 207265646e752077
 6572617764726168
index 780ce879685fdd51189316ac06af2850497f92f3..a43ca247f8359e16397dbf42d4d0d973f693e545 100644 (file)
@@ -1,5 +1,5 @@
 //--------------------------------------------------------------------------------
-// Auto-generated by Migen (0d16e03) & LiteX (564d731a) on 2020-05-31 17:48:50
+// Auto-generated by Migen (b1b2b29) & LiteX (6239eac1) on 2020-06-02 11:27:36
 //--------------------------------------------------------------------------------
 module litedram_core(
        input wire clk,
@@ -1607,7 +1607,7 @@ wire csrbank0_init_error0_re;
 wire csrbank0_init_error0_r;
 wire csrbank0_init_error0_we;
 wire csrbank0_init_error0_w;
-reg csrbank0_sel = 1'd0;
+wire csrbank0_sel;
 wire [13:0] interface1_bank_bus_adr;
 wire interface1_bank_bus_we;
 wire [31:0] interface1_bank_bus_dat_w;
@@ -1624,7 +1624,7 @@ wire csrbank1_dly_sel0_re;
 wire [1:0] csrbank1_dly_sel0_r;
 wire csrbank1_dly_sel0_we;
 wire [1:0] csrbank1_dly_sel0_w;
-reg csrbank1_sel = 1'd0;
+wire csrbank1_sel;
 wire [13:0] interface2_bank_bus_adr;
 wire interface2_bank_bus_we;
 wire [31:0] interface2_bank_bus_dat_w;
@@ -1713,7 +1713,7 @@ wire csrbank2_dfii_pi3_rddata_re;
 wire [31:0] csrbank2_dfii_pi3_rddata_r;
 wire csrbank2_dfii_pi3_rddata_we;
 wire [31:0] csrbank2_dfii_pi3_rddata_w;
-reg csrbank2_sel = 1'd0;
+wire csrbank2_sel;
 wire [13:0] adr;
 wire we;
 wire [31:0] dat_w;
@@ -1899,7 +1899,7 @@ always @(*) begin
                end
                default: begin
                        if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin
-                               litedramcore_we <= litedramcore_wishbone_we;
+                               litedramcore_we <= (litedramcore_wishbone_we & (litedramcore_wishbone_sel != 1'd0));
                        end
                end
        endcase
@@ -3235,6 +3235,35 @@ assign litedramcore_dfi_p3_rddata_valid = litedramcore_slave_p3_rddata_valid;
 // synthesis translate_off
 reg dummy_d_26;
 // synthesis translate_on
+always @(*) begin
+       litedramcore_master_p2_we_n <= 1'd1;
+       if (litedramcore_storage[0]) begin
+               litedramcore_master_p2_we_n <= litedramcore_slave_p2_we_n;
+       end else begin
+               litedramcore_master_p2_we_n <= litedramcore_inti_p2_we_n;
+       end
+// synthesis translate_off
+       dummy_d_26 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_27;
+// synthesis translate_on
+always @(*) begin
+       litedramcore_slave_p2_rddata_valid <= 1'd0;
+       if (litedramcore_storage[0]) begin
+               litedramcore_slave_p2_rddata_valid <= litedramcore_master_p2_rddata_valid;
+       end else begin
+       end
+// synthesis translate_off
+       dummy_d_27 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_28;
+// synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_cke <= 1'd0;
        if (litedramcore_storage[0]) begin
@@ -3243,12 +3272,12 @@ always @(*) begin
                litedramcore_master_p2_cke <= litedramcore_inti_p2_cke;
        end
 // synthesis translate_off
-       dummy_d_26 = dummy_s;
+       dummy_d_28 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_27;
+reg dummy_d_29;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_odt <= 1'd0;
@@ -3258,12 +3287,12 @@ always @(*) begin
                litedramcore_master_p2_odt <= litedramcore_inti_p2_odt;
        end
 // synthesis translate_off
-       dummy_d_27 = dummy_s;
+       dummy_d_29 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_28;
+reg dummy_d_30;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_reset_n <= 1'd0;
@@ -3273,12 +3302,12 @@ always @(*) begin
                litedramcore_master_p2_reset_n <= litedramcore_inti_p2_reset_n;
        end
 // synthesis translate_off
-       dummy_d_28 = dummy_s;
+       dummy_d_30 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_29;
+reg dummy_d_31;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_act_n <= 1'd1;
@@ -3288,12 +3317,12 @@ always @(*) begin
                litedramcore_master_p2_act_n <= litedramcore_inti_p2_act_n;
        end
 // synthesis translate_off
-       dummy_d_29 = dummy_s;
+       dummy_d_31 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_30;
+reg dummy_d_32;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_wrdata <= 32'd0;
@@ -3303,12 +3332,12 @@ always @(*) begin
                litedramcore_master_p2_wrdata <= litedramcore_inti_p2_wrdata;
        end
 // synthesis translate_off
-       dummy_d_30 = dummy_s;
+       dummy_d_32 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_31;
+reg dummy_d_33;
 // synthesis translate_on
 always @(*) begin
        litedramcore_inti_p3_rddata <= 32'd0;
@@ -3317,12 +3346,12 @@ always @(*) begin
                litedramcore_inti_p3_rddata <= litedramcore_master_p3_rddata;
        end
 // synthesis translate_off
-       dummy_d_31 = dummy_s;
+       dummy_d_33 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_32;
+reg dummy_d_34;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_wrdata_en <= 1'd0;
@@ -3332,12 +3361,12 @@ always @(*) begin
                litedramcore_master_p2_wrdata_en <= litedramcore_inti_p2_wrdata_en;
        end
 // synthesis translate_off
-       dummy_d_32 = dummy_s;
+       dummy_d_34 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_33;
+reg dummy_d_35;
 // synthesis translate_on
 always @(*) begin
        litedramcore_inti_p3_rddata_valid <= 1'd0;
@@ -3346,12 +3375,12 @@ always @(*) begin
                litedramcore_inti_p3_rddata_valid <= litedramcore_master_p3_rddata_valid;
        end
 // synthesis translate_off
-       dummy_d_33 = dummy_s;
+       dummy_d_35 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_34;
+reg dummy_d_36;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_wrdata_mask <= 4'd0;
@@ -3361,12 +3390,12 @@ always @(*) begin
                litedramcore_master_p2_wrdata_mask <= litedramcore_inti_p2_wrdata_mask;
        end
 // synthesis translate_off
-       dummy_d_34 = dummy_s;
+       dummy_d_36 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_35;
+reg dummy_d_37;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_rddata_en <= 1'd0;
@@ -3376,12 +3405,12 @@ always @(*) begin
                litedramcore_master_p2_rddata_en <= litedramcore_inti_p2_rddata_en;
        end
 // synthesis translate_off
-       dummy_d_35 = dummy_s;
+       dummy_d_37 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_36;
+reg dummy_d_38;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_address <= 14'd0;
@@ -3391,12 +3420,12 @@ always @(*) begin
                litedramcore_master_p3_address <= litedramcore_inti_p3_address;
        end
 // synthesis translate_off
-       dummy_d_36 = dummy_s;
+       dummy_d_38 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_37;
+reg dummy_d_39;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_bank <= 3'd0;
@@ -3406,12 +3435,12 @@ always @(*) begin
                litedramcore_master_p3_bank <= litedramcore_inti_p3_bank;
        end
 // synthesis translate_off
-       dummy_d_37 = dummy_s;
+       dummy_d_39 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_38;
+reg dummy_d_40;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_cas_n <= 1'd1;
@@ -3421,12 +3450,12 @@ always @(*) begin
                litedramcore_master_p3_cas_n <= litedramcore_inti_p3_cas_n;
        end
 // synthesis translate_off
-       dummy_d_38 = dummy_s;
+       dummy_d_40 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_39;
+reg dummy_d_41;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_cs_n <= 1'd1;
@@ -3436,12 +3465,12 @@ always @(*) begin
                litedramcore_master_p3_cs_n <= litedramcore_inti_p3_cs_n;
        end
 // synthesis translate_off
-       dummy_d_39 = dummy_s;
+       dummy_d_41 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_40;
+reg dummy_d_42;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_ras_n <= 1'd1;
@@ -3451,12 +3480,12 @@ always @(*) begin
                litedramcore_master_p3_ras_n <= litedramcore_inti_p3_ras_n;
        end
 // synthesis translate_off
-       dummy_d_40 = dummy_s;
+       dummy_d_42 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_41;
+reg dummy_d_43;
 // synthesis translate_on
 always @(*) begin
        litedramcore_slave_p3_rddata <= 32'd0;
@@ -3465,12 +3494,12 @@ always @(*) begin
        end else begin
        end
 // synthesis translate_off
-       dummy_d_41 = dummy_s;
+       dummy_d_43 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_42;
+reg dummy_d_44;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_we_n <= 1'd1;
@@ -3480,12 +3509,12 @@ always @(*) begin
                litedramcore_master_p3_we_n <= litedramcore_inti_p3_we_n;
        end
 // synthesis translate_off
-       dummy_d_42 = dummy_s;
+       dummy_d_44 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_43;
+reg dummy_d_45;
 // synthesis translate_on
 always @(*) begin
        litedramcore_slave_p3_rddata_valid <= 1'd0;
@@ -3494,12 +3523,12 @@ always @(*) begin
        end else begin
        end
 // synthesis translate_off
-       dummy_d_43 = dummy_s;
+       dummy_d_45 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_44;
+reg dummy_d_46;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_cke <= 1'd0;
@@ -3509,12 +3538,12 @@ always @(*) begin
                litedramcore_master_p3_cke <= litedramcore_inti_p3_cke;
        end
 // synthesis translate_off
-       dummy_d_44 = dummy_s;
+       dummy_d_46 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_45;
+reg dummy_d_47;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_odt <= 1'd0;
@@ -3524,12 +3553,12 @@ always @(*) begin
                litedramcore_master_p3_odt <= litedramcore_inti_p3_odt;
        end
 // synthesis translate_off
-       dummy_d_45 = dummy_s;
+       dummy_d_47 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_46;
+reg dummy_d_48;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_reset_n <= 1'd0;
@@ -3539,12 +3568,12 @@ always @(*) begin
                litedramcore_master_p3_reset_n <= litedramcore_inti_p3_reset_n;
        end
 // synthesis translate_off
-       dummy_d_46 = dummy_s;
+       dummy_d_48 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_47;
+reg dummy_d_49;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_act_n <= 1'd1;
@@ -3554,12 +3583,12 @@ always @(*) begin
                litedramcore_master_p3_act_n <= litedramcore_inti_p3_act_n;
        end
 // synthesis translate_off
-       dummy_d_47 = dummy_s;
+       dummy_d_49 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_48;
+reg dummy_d_50;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_wrdata <= 32'd0;
@@ -3569,12 +3598,12 @@ always @(*) begin
                litedramcore_master_p3_wrdata <= litedramcore_inti_p3_wrdata;
        end
 // synthesis translate_off
-       dummy_d_48 = dummy_s;
+       dummy_d_50 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_49;
+reg dummy_d_51;
 // synthesis translate_on
 always @(*) begin
        litedramcore_inti_p0_rddata <= 32'd0;
@@ -3583,12 +3612,12 @@ always @(*) begin
                litedramcore_inti_p0_rddata <= litedramcore_master_p0_rddata;
        end
 // synthesis translate_off
-       dummy_d_49 = dummy_s;
+       dummy_d_51 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_50;
+reg dummy_d_52;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_wrdata_en <= 1'd0;
@@ -3598,12 +3627,12 @@ always @(*) begin
                litedramcore_master_p3_wrdata_en <= litedramcore_inti_p3_wrdata_en;
        end
 // synthesis translate_off
-       dummy_d_50 = dummy_s;
+       dummy_d_52 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_51;
+reg dummy_d_53;
 // synthesis translate_on
 always @(*) begin
        litedramcore_inti_p0_rddata_valid <= 1'd0;
@@ -3612,12 +3641,12 @@ always @(*) begin
                litedramcore_inti_p0_rddata_valid <= litedramcore_master_p0_rddata_valid;
        end
 // synthesis translate_off
-       dummy_d_51 = dummy_s;
+       dummy_d_53 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_52;
+reg dummy_d_54;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_wrdata_mask <= 4'd0;
@@ -3627,12 +3656,12 @@ always @(*) begin
                litedramcore_master_p3_wrdata_mask <= litedramcore_inti_p3_wrdata_mask;
        end
 // synthesis translate_off
-       dummy_d_52 = dummy_s;
+       dummy_d_54 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_53;
+reg dummy_d_55;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_rddata_en <= 1'd0;
@@ -3642,12 +3671,12 @@ always @(*) begin
                litedramcore_master_p3_rddata_en <= litedramcore_inti_p3_rddata_en;
        end
 // synthesis translate_off
-       dummy_d_53 = dummy_s;
+       dummy_d_55 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_54;
+reg dummy_d_56;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_address <= 14'd0;
@@ -3657,12 +3686,12 @@ always @(*) begin
                litedramcore_master_p0_address <= litedramcore_inti_p0_address;
        end
 // synthesis translate_off
-       dummy_d_54 = dummy_s;
+       dummy_d_56 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_55;
+reg dummy_d_57;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_bank <= 3'd0;
@@ -3672,12 +3701,12 @@ always @(*) begin
                litedramcore_master_p0_bank <= litedramcore_inti_p0_bank;
        end
 // synthesis translate_off
-       dummy_d_55 = dummy_s;
+       dummy_d_57 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_56;
+reg dummy_d_58;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_cas_n <= 1'd1;
@@ -3687,12 +3716,12 @@ always @(*) begin
                litedramcore_master_p0_cas_n <= litedramcore_inti_p0_cas_n;
        end
 // synthesis translate_off
-       dummy_d_56 = dummy_s;
+       dummy_d_58 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_57;
+reg dummy_d_59;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_cs_n <= 1'd1;
@@ -3702,12 +3731,12 @@ always @(*) begin
                litedramcore_master_p0_cs_n <= litedramcore_inti_p0_cs_n;
        end
 // synthesis translate_off
-       dummy_d_57 = dummy_s;
+       dummy_d_59 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_58;
+reg dummy_d_60;
 // synthesis translate_on
 always @(*) begin
        litedramcore_slave_p0_rddata <= 32'd0;
@@ -3716,12 +3745,12 @@ always @(*) begin
        end else begin
        end
 // synthesis translate_off
-       dummy_d_58 = dummy_s;
+       dummy_d_60 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_59;
+reg dummy_d_61;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_ras_n <= 1'd1;
@@ -3731,41 +3760,41 @@ always @(*) begin
                litedramcore_master_p0_ras_n <= litedramcore_inti_p0_ras_n;
        end
 // synthesis translate_off
-       dummy_d_59 = dummy_s;
+       dummy_d_61 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_60;
+reg dummy_d_62;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_slave_p0_rddata_valid <= 1'd0;
+       litedramcore_master_p0_we_n <= 1'd1;
        if (litedramcore_storage[0]) begin
-               litedramcore_slave_p0_rddata_valid <= litedramcore_master_p0_rddata_valid;
+               litedramcore_master_p0_we_n <= litedramcore_slave_p0_we_n;
        end else begin
+               litedramcore_master_p0_we_n <= litedramcore_inti_p0_we_n;
        end
 // synthesis translate_off
-       dummy_d_60 = dummy_s;
+       dummy_d_62 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_61;
+reg dummy_d_63;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_master_p0_we_n <= 1'd1;
+       litedramcore_slave_p0_rddata_valid <= 1'd0;
        if (litedramcore_storage[0]) begin
-               litedramcore_master_p0_we_n <= litedramcore_slave_p0_we_n;
+               litedramcore_slave_p0_rddata_valid <= litedramcore_master_p0_rddata_valid;
        end else begin
-               litedramcore_master_p0_we_n <= litedramcore_inti_p0_we_n;
        end
 // synthesis translate_off
-       dummy_d_61 = dummy_s;
+       dummy_d_63 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_62;
+reg dummy_d_64;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_cke <= 1'd0;
@@ -3775,12 +3804,12 @@ always @(*) begin
                litedramcore_master_p0_cke <= litedramcore_inti_p0_cke;
        end
 // synthesis translate_off
-       dummy_d_62 = dummy_s;
+       dummy_d_64 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_63;
+reg dummy_d_65;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_odt <= 1'd0;
@@ -3790,12 +3819,12 @@ always @(*) begin
                litedramcore_master_p0_odt <= litedramcore_inti_p0_odt;
        end
 // synthesis translate_off
-       dummy_d_63 = dummy_s;
+       dummy_d_65 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_64;
+reg dummy_d_66;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_reset_n <= 1'd0;
@@ -3805,12 +3834,12 @@ always @(*) begin
                litedramcore_master_p0_reset_n <= litedramcore_inti_p0_reset_n;
        end
 // synthesis translate_off
-       dummy_d_64 = dummy_s;
+       dummy_d_66 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_65;
+reg dummy_d_67;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_act_n <= 1'd1;
@@ -3820,12 +3849,12 @@ always @(*) begin
                litedramcore_master_p0_act_n <= litedramcore_inti_p0_act_n;
        end
 // synthesis translate_off
-       dummy_d_65 = dummy_s;
+       dummy_d_67 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_66;
+reg dummy_d_68;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_wrdata <= 32'd0;
@@ -3835,12 +3864,12 @@ always @(*) begin
                litedramcore_master_p0_wrdata <= litedramcore_inti_p0_wrdata;
        end
 // synthesis translate_off
-       dummy_d_66 = dummy_s;
+       dummy_d_68 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_67;
+reg dummy_d_69;
 // synthesis translate_on
 always @(*) begin
        litedramcore_inti_p1_rddata <= 32'd0;
@@ -3849,12 +3878,12 @@ always @(*) begin
                litedramcore_inti_p1_rddata <= litedramcore_master_p1_rddata;
        end
 // synthesis translate_off
-       dummy_d_67 = dummy_s;
+       dummy_d_69 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_68;
+reg dummy_d_70;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_wrdata_en <= 1'd0;
@@ -3864,12 +3893,12 @@ always @(*) begin
                litedramcore_master_p0_wrdata_en <= litedramcore_inti_p0_wrdata_en;
        end
 // synthesis translate_off
-       dummy_d_68 = dummy_s;
+       dummy_d_70 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_69;
+reg dummy_d_71;
 // synthesis translate_on
 always @(*) begin
        litedramcore_inti_p1_rddata_valid <= 1'd0;
@@ -3878,12 +3907,12 @@ always @(*) begin
                litedramcore_inti_p1_rddata_valid <= litedramcore_master_p1_rddata_valid;
        end
 // synthesis translate_off
-       dummy_d_69 = dummy_s;
+       dummy_d_71 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_70;
+reg dummy_d_72;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_wrdata_mask <= 4'd0;
@@ -3893,12 +3922,12 @@ always @(*) begin
                litedramcore_master_p0_wrdata_mask <= litedramcore_inti_p0_wrdata_mask;
        end
 // synthesis translate_off
-       dummy_d_70 = dummy_s;
+       dummy_d_72 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_71;
+reg dummy_d_73;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_rddata_en <= 1'd0;
@@ -3908,12 +3937,12 @@ always @(*) begin
                litedramcore_master_p0_rddata_en <= litedramcore_inti_p0_rddata_en;
        end
 // synthesis translate_off
-       dummy_d_71 = dummy_s;
+       dummy_d_73 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_72;
+reg dummy_d_74;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p1_address <= 14'd0;
@@ -3923,12 +3952,12 @@ always @(*) begin
                litedramcore_master_p1_address <= litedramcore_inti_p1_address;
        end
 // synthesis translate_off
-       dummy_d_72 = dummy_s;
+       dummy_d_74 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_73;
+reg dummy_d_75;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p1_bank <= 3'd0;
@@ -3938,12 +3967,12 @@ always @(*) begin
                litedramcore_master_p1_bank <= litedramcore_inti_p1_bank;
        end
 // synthesis translate_off
-       dummy_d_73 = dummy_s;
+       dummy_d_75 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_74;
+reg dummy_d_76;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p1_cas_n <= 1'd1;
@@ -3953,26 +3982,12 @@ always @(*) begin
                litedramcore_master_p1_cas_n <= litedramcore_inti_p1_cas_n;
        end
 // synthesis translate_off
-       dummy_d_74 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_75;
-// synthesis translate_on
-always @(*) begin
-       litedramcore_slave_p2_rddata <= 32'd0;
-       if (litedramcore_storage[0]) begin
-               litedramcore_slave_p2_rddata <= litedramcore_master_p2_rddata;
-       end else begin
-       end
-// synthesis translate_off
-       dummy_d_75 = dummy_s;
+       dummy_d_76 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_76;
+reg dummy_d_77;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p1_cs_n <= 1'd1;
@@ -3982,12 +3997,12 @@ always @(*) begin
                litedramcore_master_p1_cs_n <= litedramcore_inti_p1_cs_n;
        end
 // synthesis translate_off
-       dummy_d_76 = dummy_s;
+       dummy_d_77 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_77;
+reg dummy_d_78;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p1_ras_n <= 1'd1;
@@ -3997,12 +4012,12 @@ always @(*) begin
                litedramcore_master_p1_ras_n <= litedramcore_inti_p1_ras_n;
        end
 // synthesis translate_off
-       dummy_d_77 = dummy_s;
+       dummy_d_78 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_78;
+reg dummy_d_79;
 // synthesis translate_on
 always @(*) begin
        litedramcore_slave_p1_rddata <= 32'd0;
@@ -4011,12 +4026,12 @@ always @(*) begin
        end else begin
        end
 // synthesis translate_off
-       dummy_d_78 = dummy_s;
+       dummy_d_79 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_79;
+reg dummy_d_80;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p1_we_n <= 1'd1;
@@ -4026,12 +4041,12 @@ always @(*) begin
                litedramcore_master_p1_we_n <= litedramcore_inti_p1_we_n;
        end
 // synthesis translate_off
-       dummy_d_79 = dummy_s;
+       dummy_d_80 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_80;
+reg dummy_d_81;
 // synthesis translate_on
 always @(*) begin
        litedramcore_slave_p1_rddata_valid <= 1'd0;
@@ -4040,12 +4055,12 @@ always @(*) begin
        end else begin
        end
 // synthesis translate_off
-       dummy_d_80 = dummy_s;
+       dummy_d_81 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_81;
+reg dummy_d_82;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p1_cke <= 1'd0;
@@ -4055,12 +4070,12 @@ always @(*) begin
                litedramcore_master_p1_cke <= litedramcore_inti_p1_cke;
        end
 // synthesis translate_off
-       dummy_d_81 = dummy_s;
+       dummy_d_82 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_82;
+reg dummy_d_83;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p1_odt <= 1'd0;
@@ -4070,41 +4085,41 @@ always @(*) begin
                litedramcore_master_p1_odt <= litedramcore_inti_p1_odt;
        end
 // synthesis translate_off
-       dummy_d_82 = dummy_s;
+       dummy_d_83 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_83;
+reg dummy_d_84;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_slave_p2_rddata_valid <= 1'd0;
+       litedramcore_master_p1_reset_n <= 1'd0;
        if (litedramcore_storage[0]) begin
-               litedramcore_slave_p2_rddata_valid <= litedramcore_master_p2_rddata_valid;
+               litedramcore_master_p1_reset_n <= litedramcore_slave_p1_reset_n;
        end else begin
+               litedramcore_master_p1_reset_n <= litedramcore_inti_p1_reset_n;
        end
 // synthesis translate_off
-       dummy_d_83 = dummy_s;
+       dummy_d_84 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_84;
+reg dummy_d_85;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_master_p1_reset_n <= 1'd0;
+       litedramcore_slave_p2_rddata <= 32'd0;
        if (litedramcore_storage[0]) begin
-               litedramcore_master_p1_reset_n <= litedramcore_slave_p1_reset_n;
+               litedramcore_slave_p2_rddata <= litedramcore_master_p2_rddata;
        end else begin
-               litedramcore_master_p1_reset_n <= litedramcore_inti_p1_reset_n;
        end
 // synthesis translate_off
-       dummy_d_84 = dummy_s;
+       dummy_d_85 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_85;
+reg dummy_d_86;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p1_act_n <= 1'd1;
@@ -4114,12 +4129,12 @@ always @(*) begin
                litedramcore_master_p1_act_n <= litedramcore_inti_p1_act_n;
        end
 // synthesis translate_off
-       dummy_d_85 = dummy_s;
+       dummy_d_86 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_86;
+reg dummy_d_87;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p1_wrdata <= 32'd0;
@@ -4129,12 +4144,12 @@ always @(*) begin
                litedramcore_master_p1_wrdata <= litedramcore_inti_p1_wrdata;
        end
 // synthesis translate_off
-       dummy_d_86 = dummy_s;
+       dummy_d_87 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_87;
+reg dummy_d_88;
 // synthesis translate_on
 always @(*) begin
        litedramcore_inti_p2_rddata <= 32'd0;
@@ -4143,12 +4158,12 @@ always @(*) begin
                litedramcore_inti_p2_rddata <= litedramcore_master_p2_rddata;
        end
 // synthesis translate_off
-       dummy_d_87 = dummy_s;
+       dummy_d_88 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_88;
+reg dummy_d_89;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p1_wrdata_en <= 1'd0;
@@ -4158,12 +4173,12 @@ always @(*) begin
                litedramcore_master_p1_wrdata_en <= litedramcore_inti_p1_wrdata_en;
        end
 // synthesis translate_off
-       dummy_d_88 = dummy_s;
+       dummy_d_89 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_89;
+reg dummy_d_90;
 // synthesis translate_on
 always @(*) begin
        litedramcore_inti_p2_rddata_valid <= 1'd0;
@@ -4172,12 +4187,12 @@ always @(*) begin
                litedramcore_inti_p2_rddata_valid <= litedramcore_master_p2_rddata_valid;
        end
 // synthesis translate_off
-       dummy_d_89 = dummy_s;
+       dummy_d_90 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_90;
+reg dummy_d_91;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p1_wrdata_mask <= 4'd0;
@@ -4187,12 +4202,12 @@ always @(*) begin
                litedramcore_master_p1_wrdata_mask <= litedramcore_inti_p1_wrdata_mask;
        end
 // synthesis translate_off
-       dummy_d_90 = dummy_s;
+       dummy_d_91 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_91;
+reg dummy_d_92;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p1_rddata_en <= 1'd0;
@@ -4202,12 +4217,12 @@ always @(*) begin
                litedramcore_master_p1_rddata_en <= litedramcore_inti_p1_rddata_en;
        end
 // synthesis translate_off
-       dummy_d_91 = dummy_s;
+       dummy_d_92 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_92;
+reg dummy_d_93;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_address <= 14'd0;
@@ -4217,12 +4232,12 @@ always @(*) begin
                litedramcore_master_p2_address <= litedramcore_inti_p2_address;
        end
 // synthesis translate_off
-       dummy_d_92 = dummy_s;
+       dummy_d_93 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_93;
+reg dummy_d_94;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_bank <= 3'd0;
@@ -4232,12 +4247,12 @@ always @(*) begin
                litedramcore_master_p2_bank <= litedramcore_inti_p2_bank;
        end
 // synthesis translate_off
-       dummy_d_93 = dummy_s;
+       dummy_d_94 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_94;
+reg dummy_d_95;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_cas_n <= 1'd1;
@@ -4247,12 +4262,12 @@ always @(*) begin
                litedramcore_master_p2_cas_n <= litedramcore_inti_p2_cas_n;
        end
 // synthesis translate_off
-       dummy_d_94 = dummy_s;
+       dummy_d_95 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_95;
+reg dummy_d_96;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_cs_n <= 1'd1;
@@ -4262,12 +4277,12 @@ always @(*) begin
                litedramcore_master_p2_cs_n <= litedramcore_inti_p2_cs_n;
        end
 // synthesis translate_off
-       dummy_d_95 = dummy_s;
+       dummy_d_96 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_96;
+reg dummy_d_97;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_ras_n <= 1'd1;
@@ -4276,21 +4291,6 @@ always @(*) begin
        end else begin
                litedramcore_master_p2_ras_n <= litedramcore_inti_p2_ras_n;
        end
-// synthesis translate_off
-       dummy_d_96 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_97;
-// synthesis translate_on
-always @(*) begin
-       litedramcore_master_p2_we_n <= 1'd1;
-       if (litedramcore_storage[0]) begin
-               litedramcore_master_p2_we_n <= litedramcore_slave_p2_we_n;
-       end else begin
-               litedramcore_master_p2_we_n <= litedramcore_inti_p2_we_n;
-       end
 // synthesis translate_off
        dummy_d_97 = dummy_s;
 // synthesis translate_on
@@ -4312,11 +4312,11 @@ assign litedramcore_inti_p3_reset_n = litedramcore_storage[3];
 reg dummy_d_98;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p0_cas_n <= 1'd1;
+       litedramcore_inti_p0_we_n <= 1'd1;
        if (litedramcore_phaseinjector0_command_issue_re) begin
-               litedramcore_inti_p0_cas_n <= (~litedramcore_phaseinjector0_command_storage[2]);
+               litedramcore_inti_p0_we_n <= (~litedramcore_phaseinjector0_command_storage[1]);
        end else begin
-               litedramcore_inti_p0_cas_n <= 1'd1;
+               litedramcore_inti_p0_we_n <= 1'd1;
        end
 // synthesis translate_off
        dummy_d_98 = dummy_s;
@@ -4327,11 +4327,11 @@ end
 reg dummy_d_99;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p0_cs_n <= 1'd1;
+       litedramcore_inti_p0_cas_n <= 1'd1;
        if (litedramcore_phaseinjector0_command_issue_re) begin
-               litedramcore_inti_p0_cs_n <= {1{(~litedramcore_phaseinjector0_command_storage[0])}};
+               litedramcore_inti_p0_cas_n <= (~litedramcore_phaseinjector0_command_storage[2]);
        end else begin
-               litedramcore_inti_p0_cs_n <= {1{1'd1}};
+               litedramcore_inti_p0_cas_n <= 1'd1;
        end
 // synthesis translate_off
        dummy_d_99 = dummy_s;
@@ -4342,11 +4342,11 @@ end
 reg dummy_d_100;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p0_ras_n <= 1'd1;
+       litedramcore_inti_p0_cs_n <= 1'd1;
        if (litedramcore_phaseinjector0_command_issue_re) begin
-               litedramcore_inti_p0_ras_n <= (~litedramcore_phaseinjector0_command_storage[3]);
+               litedramcore_inti_p0_cs_n <= {1{(~litedramcore_phaseinjector0_command_storage[0])}};
        end else begin
-               litedramcore_inti_p0_ras_n <= 1'd1;
+               litedramcore_inti_p0_cs_n <= {1{1'd1}};
        end
 // synthesis translate_off
        dummy_d_100 = dummy_s;
@@ -4357,11 +4357,11 @@ end
 reg dummy_d_101;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p0_we_n <= 1'd1;
+       litedramcore_inti_p0_ras_n <= 1'd1;
        if (litedramcore_phaseinjector0_command_issue_re) begin
-               litedramcore_inti_p0_we_n <= (~litedramcore_phaseinjector0_command_storage[1]);
+               litedramcore_inti_p0_ras_n <= (~litedramcore_phaseinjector0_command_storage[3]);
        end else begin
-               litedramcore_inti_p0_we_n <= 1'd1;
+               litedramcore_inti_p0_ras_n <= 1'd1;
        end
 // synthesis translate_off
        dummy_d_101 = dummy_s;
@@ -4378,11 +4378,11 @@ assign litedramcore_inti_p0_wrdata_mask = 1'd0;
 reg dummy_d_102;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p1_cas_n <= 1'd1;
+       litedramcore_inti_p1_we_n <= 1'd1;
        if (litedramcore_phaseinjector1_command_issue_re) begin
-               litedramcore_inti_p1_cas_n <= (~litedramcore_phaseinjector1_command_storage[2]);
+               litedramcore_inti_p1_we_n <= (~litedramcore_phaseinjector1_command_storage[1]);
        end else begin
-               litedramcore_inti_p1_cas_n <= 1'd1;
+               litedramcore_inti_p1_we_n <= 1'd1;
        end
 // synthesis translate_off
        dummy_d_102 = dummy_s;
@@ -4393,11 +4393,11 @@ end
 reg dummy_d_103;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p1_cs_n <= 1'd1;
+       litedramcore_inti_p1_cas_n <= 1'd1;
        if (litedramcore_phaseinjector1_command_issue_re) begin
-               litedramcore_inti_p1_cs_n <= {1{(~litedramcore_phaseinjector1_command_storage[0])}};
+               litedramcore_inti_p1_cas_n <= (~litedramcore_phaseinjector1_command_storage[2]);
        end else begin
-               litedramcore_inti_p1_cs_n <= {1{1'd1}};
+               litedramcore_inti_p1_cas_n <= 1'd1;
        end
 // synthesis translate_off
        dummy_d_103 = dummy_s;
@@ -4408,11 +4408,11 @@ end
 reg dummy_d_104;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p1_ras_n <= 1'd1;
+       litedramcore_inti_p1_cs_n <= 1'd1;
        if (litedramcore_phaseinjector1_command_issue_re) begin
-               litedramcore_inti_p1_ras_n <= (~litedramcore_phaseinjector1_command_storage[3]);
+               litedramcore_inti_p1_cs_n <= {1{(~litedramcore_phaseinjector1_command_storage[0])}};
        end else begin
-               litedramcore_inti_p1_ras_n <= 1'd1;
+               litedramcore_inti_p1_cs_n <= {1{1'd1}};
        end
 // synthesis translate_off
        dummy_d_104 = dummy_s;
@@ -4423,11 +4423,11 @@ end
 reg dummy_d_105;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p1_we_n <= 1'd1;
+       litedramcore_inti_p1_ras_n <= 1'd1;
        if (litedramcore_phaseinjector1_command_issue_re) begin
-               litedramcore_inti_p1_we_n <= (~litedramcore_phaseinjector1_command_storage[1]);
+               litedramcore_inti_p1_ras_n <= (~litedramcore_phaseinjector1_command_storage[3]);
        end else begin
-               litedramcore_inti_p1_we_n <= 1'd1;
+               litedramcore_inti_p1_ras_n <= 1'd1;
        end
 // synthesis translate_off
        dummy_d_105 = dummy_s;
@@ -4444,11 +4444,11 @@ assign litedramcore_inti_p1_wrdata_mask = 1'd0;
 reg dummy_d_106;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p2_cas_n <= 1'd1;
+       litedramcore_inti_p2_we_n <= 1'd1;
        if (litedramcore_phaseinjector2_command_issue_re) begin
-               litedramcore_inti_p2_cas_n <= (~litedramcore_phaseinjector2_command_storage[2]);
+               litedramcore_inti_p2_we_n <= (~litedramcore_phaseinjector2_command_storage[1]);
        end else begin
-               litedramcore_inti_p2_cas_n <= 1'd1;
+               litedramcore_inti_p2_we_n <= 1'd1;
        end
 // synthesis translate_off
        dummy_d_106 = dummy_s;
@@ -4459,11 +4459,11 @@ end
 reg dummy_d_107;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p2_cs_n <= 1'd1;
+       litedramcore_inti_p2_cas_n <= 1'd1;
        if (litedramcore_phaseinjector2_command_issue_re) begin
-               litedramcore_inti_p2_cs_n <= {1{(~litedramcore_phaseinjector2_command_storage[0])}};
+               litedramcore_inti_p2_cas_n <= (~litedramcore_phaseinjector2_command_storage[2]);
        end else begin
-               litedramcore_inti_p2_cs_n <= {1{1'd1}};
+               litedramcore_inti_p2_cas_n <= 1'd1;
        end
 // synthesis translate_off
        dummy_d_107 = dummy_s;
@@ -4474,11 +4474,11 @@ end
 reg dummy_d_108;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p2_ras_n <= 1'd1;
+       litedramcore_inti_p2_cs_n <= 1'd1;
        if (litedramcore_phaseinjector2_command_issue_re) begin
-               litedramcore_inti_p2_ras_n <= (~litedramcore_phaseinjector2_command_storage[3]);
+               litedramcore_inti_p2_cs_n <= {1{(~litedramcore_phaseinjector2_command_storage[0])}};
        end else begin
-               litedramcore_inti_p2_ras_n <= 1'd1;
+               litedramcore_inti_p2_cs_n <= {1{1'd1}};
        end
 // synthesis translate_off
        dummy_d_108 = dummy_s;
@@ -4489,11 +4489,11 @@ end
 reg dummy_d_109;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p2_we_n <= 1'd1;
+       litedramcore_inti_p2_ras_n <= 1'd1;
        if (litedramcore_phaseinjector2_command_issue_re) begin
-               litedramcore_inti_p2_we_n <= (~litedramcore_phaseinjector2_command_storage[1]);
+               litedramcore_inti_p2_ras_n <= (~litedramcore_phaseinjector2_command_storage[3]);
        end else begin
-               litedramcore_inti_p2_we_n <= 1'd1;
+               litedramcore_inti_p2_ras_n <= 1'd1;
        end
 // synthesis translate_off
        dummy_d_109 = dummy_s;
@@ -4510,11 +4510,11 @@ assign litedramcore_inti_p2_wrdata_mask = 1'd0;
 reg dummy_d_110;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p3_cas_n <= 1'd1;
+       litedramcore_inti_p3_we_n <= 1'd1;
        if (litedramcore_phaseinjector3_command_issue_re) begin
-               litedramcore_inti_p3_cas_n <= (~litedramcore_phaseinjector3_command_storage[2]);
+               litedramcore_inti_p3_we_n <= (~litedramcore_phaseinjector3_command_storage[1]);
        end else begin
-               litedramcore_inti_p3_cas_n <= 1'd1;
+               litedramcore_inti_p3_we_n <= 1'd1;
        end
 // synthesis translate_off
        dummy_d_110 = dummy_s;
@@ -4525,11 +4525,11 @@ end
 reg dummy_d_111;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p3_cs_n <= 1'd1;
+       litedramcore_inti_p3_cas_n <= 1'd1;
        if (litedramcore_phaseinjector3_command_issue_re) begin
-               litedramcore_inti_p3_cs_n <= {1{(~litedramcore_phaseinjector3_command_storage[0])}};
+               litedramcore_inti_p3_cas_n <= (~litedramcore_phaseinjector3_command_storage[2]);
        end else begin
-               litedramcore_inti_p3_cs_n <= {1{1'd1}};
+               litedramcore_inti_p3_cas_n <= 1'd1;
        end
 // synthesis translate_off
        dummy_d_111 = dummy_s;
@@ -4540,11 +4540,11 @@ end
 reg dummy_d_112;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p3_ras_n <= 1'd1;
+       litedramcore_inti_p3_cs_n <= 1'd1;
        if (litedramcore_phaseinjector3_command_issue_re) begin
-               litedramcore_inti_p3_ras_n <= (~litedramcore_phaseinjector3_command_storage[3]);
+               litedramcore_inti_p3_cs_n <= {1{(~litedramcore_phaseinjector3_command_storage[0])}};
        end else begin
-               litedramcore_inti_p3_ras_n <= 1'd1;
+               litedramcore_inti_p3_cs_n <= {1{1'd1}};
        end
 // synthesis translate_off
        dummy_d_112 = dummy_s;
@@ -4555,11 +4555,11 @@ end
 reg dummy_d_113;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p3_we_n <= 1'd1;
+       litedramcore_inti_p3_ras_n <= 1'd1;
        if (litedramcore_phaseinjector3_command_issue_re) begin
-               litedramcore_inti_p3_we_n <= (~litedramcore_phaseinjector3_command_storage[1]);
+               litedramcore_inti_p3_ras_n <= (~litedramcore_phaseinjector3_command_storage[3]);
        end else begin
-               litedramcore_inti_p3_we_n <= 1'd1;
+               litedramcore_inti_p3_ras_n <= 1'd1;
        end
 // synthesis translate_off
        dummy_d_113 = dummy_s;
@@ -4918,38 +4918,83 @@ always @(*) begin
                        bankmachine0_next_state <= 4'd8;
                end
                4'd8: begin
-                       bankmachine0_next_state <= 1'd0;
+                       bankmachine0_next_state <= 1'd0;
+               end
+               default: begin
+                       if (litedramcore_bankmachine0_refresh_req) begin
+                               bankmachine0_next_state <= 3'd4;
+                       end else begin
+                               if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin
+                                       if (litedramcore_bankmachine0_row_opened) begin
+                                               if (litedramcore_bankmachine0_row_hit) begin
+                                                       if ((litedramcore_bankmachine0_cmd_ready & litedramcore_bankmachine0_auto_precharge)) begin
+                                                               bankmachine0_next_state <= 2'd2;
+                                                       end
+                                               end else begin
+                                                       bankmachine0_next_state <= 1'd1;
+                                               end
+                                       end else begin
+                                               bankmachine0_next_state <= 2'd3;
+                                       end
+                               end
+                       end
+               end
+       endcase
+// synthesis translate_off
+       dummy_d_122 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_123;
+// synthesis translate_on
+always @(*) begin
+       litedramcore_bankmachine0_cmd_payload_is_write <= 1'd0;
+       case (bankmachine0_state)
+               1'd1: begin
+               end
+               2'd2: begin
+               end
+               2'd3: begin
+               end
+               3'd4: begin
+               end
+               3'd5: begin
+               end
+               3'd6: begin
+               end
+               3'd7: begin
+               end
+               4'd8: begin
                end
                default: begin
                        if (litedramcore_bankmachine0_refresh_req) begin
-                               bankmachine0_next_state <= 3'd4;
                        end else begin
                                if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin
                                        if (litedramcore_bankmachine0_row_opened) begin
                                                if (litedramcore_bankmachine0_row_hit) begin
-                                                       if ((litedramcore_bankmachine0_cmd_ready & litedramcore_bankmachine0_auto_precharge)) begin
-                                                               bankmachine0_next_state <= 2'd2;
+                                                       if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin
+                                                               litedramcore_bankmachine0_cmd_payload_is_write <= 1'd1;
+                                                       end else begin
                                                        end
                                                end else begin
-                                                       bankmachine0_next_state <= 1'd1;
                                                end
                                        end else begin
-                                               bankmachine0_next_state <= 2'd3;
                                        end
                                end
                        end
                end
        endcase
 // synthesis translate_off
-       dummy_d_122 = dummy_s;
+       dummy_d_123 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_123;
+reg dummy_d_124;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine0_req_rdata_valid <= 1'd0;
+       litedramcore_bankmachine0_req_wdata_ready <= 1'd0;
        case (bankmachine0_state)
                1'd1: begin
                end
@@ -4974,8 +5019,8 @@ always @(*) begin
                                        if (litedramcore_bankmachine0_row_opened) begin
                                                if (litedramcore_bankmachine0_row_hit) begin
                                                        if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin
+                                                               litedramcore_bankmachine0_req_wdata_ready <= litedramcore_bankmachine0_cmd_ready;
                                                        end else begin
-                                                               litedramcore_bankmachine0_req_rdata_valid <= litedramcore_bankmachine0_cmd_ready;
                                                        end
                                                end else begin
                                                end
@@ -4986,24 +5031,21 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_123 = dummy_s;
+       dummy_d_124 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_124;
+reg dummy_d_125;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd0;
+       litedramcore_bankmachine0_req_rdata_valid <= 1'd0;
        case (bankmachine0_state)
                1'd1: begin
                end
                2'd2: begin
                end
                2'd3: begin
-                       if (litedramcore_bankmachine0_trccon_ready) begin
-                               litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd1;
-                       end
                end
                3'd4: begin
                end
@@ -5016,15 +5058,30 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
+                       if (litedramcore_bankmachine0_refresh_req) begin
+                       end else begin
+                               if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin
+                                       if (litedramcore_bankmachine0_row_opened) begin
+                                               if (litedramcore_bankmachine0_row_hit) begin
+                                                       if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin
+                                                       end else begin
+                                                               litedramcore_bankmachine0_req_rdata_valid <= litedramcore_bankmachine0_cmd_ready;
+                                                       end
+                                               end else begin
+                                               end
+                                       end else begin
+                                       end
+                               end
+                       end
                end
        endcase
 // synthesis translate_off
-       dummy_d_124 = dummy_s;
+       dummy_d_125 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_125;
+reg dummy_d_126;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine0_refresh_gnt <= 1'd0;
@@ -5052,12 +5109,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_125 = dummy_s;
+       dummy_d_126 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_126;
+reg dummy_d_127;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine0_cmd_valid <= 1'd0;
@@ -5099,39 +5156,6 @@ always @(*) begin
                        end
                end
        endcase
-// synthesis translate_off
-       dummy_d_126 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_127;
-// synthesis translate_on
-always @(*) begin
-       litedramcore_bankmachine0_row_open <= 1'd0;
-       case (bankmachine0_state)
-               1'd1: begin
-               end
-               2'd2: begin
-               end
-               2'd3: begin
-                       if (litedramcore_bankmachine0_trccon_ready) begin
-                               litedramcore_bankmachine0_row_open <= 1'd1;
-                       end
-               end
-               3'd4: begin
-               end
-               3'd5: begin
-               end
-               3'd6: begin
-               end
-               3'd7: begin
-               end
-               4'd8: begin
-               end
-               default: begin
-               end
-       endcase
 // synthesis translate_off
        dummy_d_127 = dummy_s;
 // synthesis translate_on
@@ -5141,18 +5165,18 @@ end
 reg dummy_d_128;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine0_row_close <= 1'd0;
+       litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd0;
        case (bankmachine0_state)
                1'd1: begin
-                       litedramcore_bankmachine0_row_close <= 1'd1;
                end
                2'd2: begin
-                       litedramcore_bankmachine0_row_close <= 1'd1;
                end
                2'd3: begin
+                       if (litedramcore_bankmachine0_trccon_ready) begin
+                               litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd1;
+                       end
                end
                3'd4: begin
-                       litedramcore_bankmachine0_row_close <= 1'd1;
                end
                3'd5: begin
                end
@@ -5174,13 +5198,16 @@ end
 reg dummy_d_129;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine0_cmd_payload_cas <= 1'd0;
+       litedramcore_bankmachine0_row_open <= 1'd0;
        case (bankmachine0_state)
                1'd1: begin
                end
                2'd2: begin
                end
                2'd3: begin
+                       if (litedramcore_bankmachine0_trccon_ready) begin
+                               litedramcore_bankmachine0_row_open <= 1'd1;
+                       end
                end
                3'd4: begin
                end
@@ -5193,18 +5220,6 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
-                       if (litedramcore_bankmachine0_refresh_req) begin
-                       end else begin
-                               if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin
-                                       if (litedramcore_bankmachine0_row_opened) begin
-                                               if (litedramcore_bankmachine0_row_hit) begin
-                                                       litedramcore_bankmachine0_cmd_payload_cas <= 1'd1;
-                                               end else begin
-                                               end
-                                       end else begin
-                                       end
-                               end
-                       end
                end
        endcase
 // synthesis translate_off
@@ -5216,21 +5231,18 @@ end
 reg dummy_d_130;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine0_cmd_payload_ras <= 1'd0;
+       litedramcore_bankmachine0_row_close <= 1'd0;
        case (bankmachine0_state)
                1'd1: begin
-                       if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin
-                               litedramcore_bankmachine0_cmd_payload_ras <= 1'd1;
-                       end
+                       litedramcore_bankmachine0_row_close <= 1'd1;
                end
                2'd2: begin
+                       litedramcore_bankmachine0_row_close <= 1'd1;
                end
                2'd3: begin
-                       if (litedramcore_bankmachine0_trccon_ready) begin
-                               litedramcore_bankmachine0_cmd_payload_ras <= 1'd1;
-                       end
                end
                3'd4: begin
+                       litedramcore_bankmachine0_row_close <= 1'd1;
                end
                3'd5: begin
                end
@@ -5252,12 +5264,9 @@ end
 reg dummy_d_131;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine0_cmd_payload_we <= 1'd0;
+       litedramcore_bankmachine0_cmd_payload_cas <= 1'd0;
        case (bankmachine0_state)
                1'd1: begin
-                       if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin
-                               litedramcore_bankmachine0_cmd_payload_we <= 1'd1;
-                       end
                end
                2'd2: begin
                end
@@ -5279,10 +5288,7 @@ always @(*) begin
                                if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin
                                        if (litedramcore_bankmachine0_row_opened) begin
                                                if (litedramcore_bankmachine0_row_hit) begin
-                                                       if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin
-                                                               litedramcore_bankmachine0_cmd_payload_we <= 1'd1;
-                                                       end else begin
-                                                       end
+                                                       litedramcore_bankmachine0_cmd_payload_cas <= 1'd1;
                                                end else begin
                                                end
                                        end else begin
@@ -5300,22 +5306,21 @@ end
 reg dummy_d_132;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd0;
+       litedramcore_bankmachine0_cmd_payload_ras <= 1'd0;
        case (bankmachine0_state)
                1'd1: begin
                        if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin
-                               litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1;
+                               litedramcore_bankmachine0_cmd_payload_ras <= 1'd1;
                        end
                end
                2'd2: begin
                end
                2'd3: begin
                        if (litedramcore_bankmachine0_trccon_ready) begin
-                               litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1;
+                               litedramcore_bankmachine0_cmd_payload_ras <= 1'd1;
                        end
                end
                3'd4: begin
-                       litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1;
                end
                3'd5: begin
                end
@@ -5337,9 +5342,12 @@ end
 reg dummy_d_133;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine0_cmd_payload_is_read <= 1'd0;
+       litedramcore_bankmachine0_cmd_payload_we <= 1'd0;
        case (bankmachine0_state)
                1'd1: begin
+                       if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin
+                               litedramcore_bankmachine0_cmd_payload_we <= 1'd1;
+                       end
                end
                2'd2: begin
                end
@@ -5362,8 +5370,8 @@ always @(*) begin
                                        if (litedramcore_bankmachine0_row_opened) begin
                                                if (litedramcore_bankmachine0_row_hit) begin
                                                        if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin
+                                                               litedramcore_bankmachine0_cmd_payload_we <= 1'd1;
                                                        end else begin
-                                                               litedramcore_bankmachine0_cmd_payload_is_read <= 1'd1;
                                                        end
                                                end else begin
                                                end
@@ -5382,15 +5390,22 @@ end
 reg dummy_d_134;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine0_cmd_payload_is_write <= 1'd0;
+       litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd0;
        case (bankmachine0_state)
                1'd1: begin
+                       if ((litedramcore_bankmachine0_twtpcon_ready & litedramcore_bankmachine0_trascon_ready)) begin
+                               litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1;
+                       end
                end
                2'd2: begin
                end
                2'd3: begin
+                       if (litedramcore_bankmachine0_trccon_ready) begin
+                               litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1;
+                       end
                end
                3'd4: begin
+                       litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd1;
                end
                3'd5: begin
                end
@@ -5401,21 +5416,6 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
-                       if (litedramcore_bankmachine0_refresh_req) begin
-                       end else begin
-                               if (litedramcore_bankmachine0_cmd_buffer_source_valid) begin
-                                       if (litedramcore_bankmachine0_row_opened) begin
-                                               if (litedramcore_bankmachine0_row_hit) begin
-                                                       if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin
-                                                               litedramcore_bankmachine0_cmd_payload_is_write <= 1'd1;
-                                                       end else begin
-                                                       end
-                                               end else begin
-                                               end
-                                       end else begin
-                                       end
-                               end
-                       end
                end
        endcase
 // synthesis translate_off
@@ -5427,7 +5427,7 @@ end
 reg dummy_d_135;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine0_req_wdata_ready <= 1'd0;
+       litedramcore_bankmachine0_cmd_payload_is_read <= 1'd0;
        case (bankmachine0_state)
                1'd1: begin
                end
@@ -5452,8 +5452,8 @@ always @(*) begin
                                        if (litedramcore_bankmachine0_row_opened) begin
                                                if (litedramcore_bankmachine0_row_hit) begin
                                                        if (litedramcore_bankmachine0_cmd_buffer_source_payload_we) begin
-                                                               litedramcore_bankmachine0_req_wdata_ready <= litedramcore_bankmachine0_cmd_ready;
                                                        end else begin
+                                                               litedramcore_bankmachine0_cmd_payload_is_read <= 1'd1;
                                                        end
                                                end else begin
                                                end
@@ -5627,7 +5627,7 @@ end
 reg dummy_d_140;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine1_req_rdata_valid <= 1'd0;
+       litedramcore_bankmachine1_cmd_payload_is_write <= 1'd0;
        case (bankmachine1_state)
                1'd1: begin
                end
@@ -5652,8 +5652,8 @@ always @(*) begin
                                        if (litedramcore_bankmachine1_row_opened) begin
                                                if (litedramcore_bankmachine1_row_hit) begin
                                                        if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin
+                                                               litedramcore_bankmachine1_cmd_payload_is_write <= 1'd1;
                                                        end else begin
-                                                               litedramcore_bankmachine1_req_rdata_valid <= litedramcore_bankmachine1_cmd_ready;
                                                        end
                                                end else begin
                                                end
@@ -5672,7 +5672,7 @@ end
 reg dummy_d_141;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine1_refresh_gnt <= 1'd0;
+       litedramcore_bankmachine1_req_wdata_ready <= 1'd0;
        case (bankmachine1_state)
                1'd1: begin
                end
@@ -5681,9 +5681,6 @@ always @(*) begin
                2'd3: begin
                end
                3'd4: begin
-                       if (litedramcore_bankmachine1_twtpcon_ready) begin
-                               litedramcore_bankmachine1_refresh_gnt <= 1'd1;
-                       end
                end
                3'd5: begin
                end
@@ -5694,6 +5691,21 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
+                       if (litedramcore_bankmachine1_refresh_req) begin
+                       end else begin
+                               if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin
+                                       if (litedramcore_bankmachine1_row_opened) begin
+                                               if (litedramcore_bankmachine1_row_hit) begin
+                                                       if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin
+                                                               litedramcore_bankmachine1_req_wdata_ready <= litedramcore_bankmachine1_cmd_ready;
+                                                       end else begin
+                                                       end
+                                               end else begin
+                                               end
+                                       end else begin
+                                       end
+                               end
+                       end
                end
        endcase
 // synthesis translate_off
@@ -5705,19 +5717,13 @@ end
 reg dummy_d_142;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine1_cmd_valid <= 1'd0;
+       litedramcore_bankmachine1_req_rdata_valid <= 1'd0;
        case (bankmachine1_state)
                1'd1: begin
-                       if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin
-                               litedramcore_bankmachine1_cmd_valid <= 1'd1;
-                       end
                end
                2'd2: begin
                end
                2'd3: begin
-                       if (litedramcore_bankmachine1_trccon_ready) begin
-                               litedramcore_bankmachine1_cmd_valid <= 1'd1;
-                       end
                end
                3'd4: begin
                end
@@ -5735,7 +5741,10 @@ always @(*) begin
                                if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin
                                        if (litedramcore_bankmachine1_row_opened) begin
                                                if (litedramcore_bankmachine1_row_hit) begin
-                                                       litedramcore_bankmachine1_cmd_valid <= 1'd1;
+                                                       if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin
+                                                       end else begin
+                                                               litedramcore_bankmachine1_req_rdata_valid <= litedramcore_bankmachine1_cmd_ready;
+                                                       end
                                                end else begin
                                                end
                                        end else begin
@@ -5753,15 +5762,51 @@ end
 reg dummy_d_143;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd0;
+       litedramcore_bankmachine1_refresh_gnt <= 1'd0;
+       case (bankmachine1_state)
+               1'd1: begin
+               end
+               2'd2: begin
+               end
+               2'd3: begin
+               end
+               3'd4: begin
+                       if (litedramcore_bankmachine1_twtpcon_ready) begin
+                               litedramcore_bankmachine1_refresh_gnt <= 1'd1;
+                       end
+               end
+               3'd5: begin
+               end
+               3'd6: begin
+               end
+               3'd7: begin
+               end
+               4'd8: begin
+               end
+               default: begin
+               end
+       endcase
+// synthesis translate_off
+       dummy_d_143 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_144;
+// synthesis translate_on
+always @(*) begin
+       litedramcore_bankmachine1_cmd_valid <= 1'd0;
        case (bankmachine1_state)
                1'd1: begin
+                       if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin
+                               litedramcore_bankmachine1_cmd_valid <= 1'd1;
+                       end
                end
                2'd2: begin
                end
                2'd3: begin
                        if (litedramcore_bankmachine1_trccon_ready) begin
-                               litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd1;
+                               litedramcore_bankmachine1_cmd_valid <= 1'd1;
                        end
                end
                3'd4: begin
@@ -5775,15 +5820,27 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
+                       if (litedramcore_bankmachine1_refresh_req) begin
+                       end else begin
+                               if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin
+                                       if (litedramcore_bankmachine1_row_opened) begin
+                                               if (litedramcore_bankmachine1_row_hit) begin
+                                                       litedramcore_bankmachine1_cmd_valid <= 1'd1;
+                                               end else begin
+                                               end
+                                       end else begin
+                                       end
+                               end
+                       end
                end
        endcase
 // synthesis translate_off
-       dummy_d_143 = dummy_s;
+       dummy_d_144 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_144;
+reg dummy_d_145;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine1_row_open <= 1'd0;
@@ -5811,12 +5868,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_144 = dummy_s;
+       dummy_d_145 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_145;
+reg dummy_d_146;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine1_row_close <= 1'd0;
@@ -5844,12 +5901,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_145 = dummy_s;
+       dummy_d_146 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_146;
+reg dummy_d_147;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine1_cmd_payload_cas <= 1'd0;
@@ -5886,12 +5943,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_146 = dummy_s;
+       dummy_d_147 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_147;
+reg dummy_d_148;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine1_cmd_payload_ras <= 1'd0;
@@ -5921,54 +5978,6 @@ always @(*) begin
                default: begin
                end
        endcase
-// synthesis translate_off
-       dummy_d_147 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_148;
-// synthesis translate_on
-always @(*) begin
-       litedramcore_bankmachine1_cmd_payload_we <= 1'd0;
-       case (bankmachine1_state)
-               1'd1: begin
-                       if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin
-                               litedramcore_bankmachine1_cmd_payload_we <= 1'd1;
-                       end
-               end
-               2'd2: begin
-               end
-               2'd3: begin
-               end
-               3'd4: begin
-               end
-               3'd5: begin
-               end
-               3'd6: begin
-               end
-               3'd7: begin
-               end
-               4'd8: begin
-               end
-               default: begin
-                       if (litedramcore_bankmachine1_refresh_req) begin
-                       end else begin
-                               if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin
-                                       if (litedramcore_bankmachine1_row_opened) begin
-                                               if (litedramcore_bankmachine1_row_hit) begin
-                                                       if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin
-                                                               litedramcore_bankmachine1_cmd_payload_we <= 1'd1;
-                                                       end else begin
-                                                       end
-                                               end else begin
-                                               end
-                                       end else begin
-                                       end
-                               end
-                       end
-               end
-       endcase
 // synthesis translate_off
        dummy_d_148 = dummy_s;
 // synthesis translate_on
@@ -5978,22 +5987,18 @@ end
 reg dummy_d_149;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd0;
+       litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd0;
        case (bankmachine1_state)
                1'd1: begin
-                       if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin
-                               litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1;
-                       end
                end
                2'd2: begin
                end
                2'd3: begin
                        if (litedramcore_bankmachine1_trccon_ready) begin
-                               litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1;
+                               litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd1;
                        end
                end
                3'd4: begin
-                       litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1;
                end
                3'd5: begin
                end
@@ -6015,9 +6020,12 @@ end
 reg dummy_d_150;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine1_cmd_payload_is_read <= 1'd0;
+       litedramcore_bankmachine1_cmd_payload_we <= 1'd0;
        case (bankmachine1_state)
                1'd1: begin
+                       if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin
+                               litedramcore_bankmachine1_cmd_payload_we <= 1'd1;
+                       end
                end
                2'd2: begin
                end
@@ -6040,8 +6048,8 @@ always @(*) begin
                                        if (litedramcore_bankmachine1_row_opened) begin
                                                if (litedramcore_bankmachine1_row_hit) begin
                                                        if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin
+                                                               litedramcore_bankmachine1_cmd_payload_we <= 1'd1;
                                                        end else begin
-                                                               litedramcore_bankmachine1_cmd_payload_is_read <= 1'd1;
                                                        end
                                                end else begin
                                                end
@@ -6060,15 +6068,22 @@ end
 reg dummy_d_151;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine1_cmd_payload_is_write <= 1'd0;
+       litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd0;
        case (bankmachine1_state)
                1'd1: begin
+                       if ((litedramcore_bankmachine1_twtpcon_ready & litedramcore_bankmachine1_trascon_ready)) begin
+                               litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1;
+                       end
                end
                2'd2: begin
                end
                2'd3: begin
+                       if (litedramcore_bankmachine1_trccon_ready) begin
+                               litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1;
+                       end
                end
                3'd4: begin
+                       litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd1;
                end
                3'd5: begin
                end
@@ -6079,21 +6094,6 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
-                       if (litedramcore_bankmachine1_refresh_req) begin
-                       end else begin
-                               if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin
-                                       if (litedramcore_bankmachine1_row_opened) begin
-                                               if (litedramcore_bankmachine1_row_hit) begin
-                                                       if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin
-                                                               litedramcore_bankmachine1_cmd_payload_is_write <= 1'd1;
-                                                       end else begin
-                                                       end
-                                               end else begin
-                                               end
-                                       end else begin
-                                       end
-                               end
-                       end
                end
        endcase
 // synthesis translate_off
@@ -6105,7 +6105,7 @@ end
 reg dummy_d_152;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine1_req_wdata_ready <= 1'd0;
+       litedramcore_bankmachine1_cmd_payload_is_read <= 1'd0;
        case (bankmachine1_state)
                1'd1: begin
                end
@@ -6130,8 +6130,8 @@ always @(*) begin
                                        if (litedramcore_bankmachine1_row_opened) begin
                                                if (litedramcore_bankmachine1_row_hit) begin
                                                        if (litedramcore_bankmachine1_cmd_buffer_source_payload_we) begin
-                                                               litedramcore_bankmachine1_req_wdata_ready <= litedramcore_bankmachine1_cmd_ready;
                                                        end else begin
+                                                               litedramcore_bankmachine1_cmd_payload_is_read <= 1'd1;
                                                        end
                                                end else begin
                                                end
@@ -6305,7 +6305,7 @@ end
 reg dummy_d_157;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine2_req_rdata_valid <= 1'd0;
+       litedramcore_bankmachine2_cmd_payload_is_write <= 1'd0;
        case (bankmachine2_state)
                1'd1: begin
                end
@@ -6330,8 +6330,8 @@ always @(*) begin
                                        if (litedramcore_bankmachine2_row_opened) begin
                                                if (litedramcore_bankmachine2_row_hit) begin
                                                        if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin
+                                                               litedramcore_bankmachine2_cmd_payload_is_write <= 1'd1;
                                                        end else begin
-                                                               litedramcore_bankmachine2_req_rdata_valid <= litedramcore_bankmachine2_cmd_ready;
                                                        end
                                                end else begin
                                                end
@@ -6350,7 +6350,7 @@ end
 reg dummy_d_158;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine2_refresh_gnt <= 1'd0;
+       litedramcore_bankmachine2_req_wdata_ready <= 1'd0;
        case (bankmachine2_state)
                1'd1: begin
                end
@@ -6359,9 +6359,6 @@ always @(*) begin
                2'd3: begin
                end
                3'd4: begin
-                       if (litedramcore_bankmachine2_twtpcon_ready) begin
-                               litedramcore_bankmachine2_refresh_gnt <= 1'd1;
-                       end
                end
                3'd5: begin
                end
@@ -6372,6 +6369,21 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
+                       if (litedramcore_bankmachine2_refresh_req) begin
+                       end else begin
+                               if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin
+                                       if (litedramcore_bankmachine2_row_opened) begin
+                                               if (litedramcore_bankmachine2_row_hit) begin
+                                                       if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin
+                                                               litedramcore_bankmachine2_req_wdata_ready <= litedramcore_bankmachine2_cmd_ready;
+                                                       end else begin
+                                                       end
+                                               end else begin
+                                               end
+                                       end else begin
+                                       end
+                               end
+                       end
                end
        endcase
 // synthesis translate_off
@@ -6383,18 +6395,15 @@ end
 reg dummy_d_159;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine2_cmd_valid <= 1'd0;
+       litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd0;
        case (bankmachine2_state)
                1'd1: begin
-                       if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin
-                               litedramcore_bankmachine2_cmd_valid <= 1'd1;
-                       end
                end
                2'd2: begin
                end
                2'd3: begin
                        if (litedramcore_bankmachine2_trccon_ready) begin
-                               litedramcore_bankmachine2_cmd_valid <= 1'd1;
+                               litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd1;
                        end
                end
                3'd4: begin
@@ -6408,18 +6417,6 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
-                       if (litedramcore_bankmachine2_refresh_req) begin
-                       end else begin
-                               if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin
-                                       if (litedramcore_bankmachine2_row_opened) begin
-                                               if (litedramcore_bankmachine2_row_hit) begin
-                                                       litedramcore_bankmachine2_cmd_valid <= 1'd1;
-                                               end else begin
-                                               end
-                                       end else begin
-                                       end
-                               end
-                       end
                end
        endcase
 // synthesis translate_off
@@ -6431,16 +6428,13 @@ end
 reg dummy_d_160;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine2_row_open <= 1'd0;
+       litedramcore_bankmachine2_req_rdata_valid <= 1'd0;
        case (bankmachine2_state)
                1'd1: begin
                end
                2'd2: begin
                end
                2'd3: begin
-                       if (litedramcore_bankmachine2_trccon_ready) begin
-                               litedramcore_bankmachine2_row_open <= 1'd1;
-                       end
                end
                3'd4: begin
                end
@@ -6453,6 +6447,21 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
+                       if (litedramcore_bankmachine2_refresh_req) begin
+                       end else begin
+                               if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin
+                                       if (litedramcore_bankmachine2_row_opened) begin
+                                               if (litedramcore_bankmachine2_row_hit) begin
+                                                       if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin
+                                                       end else begin
+                                                               litedramcore_bankmachine2_req_rdata_valid <= litedramcore_bankmachine2_cmd_ready;
+                                                       end
+                                               end else begin
+                                               end
+                                       end else begin
+                                       end
+                               end
+                       end
                end
        endcase
 // synthesis translate_off
@@ -6464,18 +6473,18 @@ end
 reg dummy_d_161;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine2_row_close <= 1'd0;
+       litedramcore_bankmachine2_refresh_gnt <= 1'd0;
        case (bankmachine2_state)
                1'd1: begin
-                       litedramcore_bankmachine2_row_close <= 1'd1;
                end
                2'd2: begin
-                       litedramcore_bankmachine2_row_close <= 1'd1;
                end
                2'd3: begin
                end
                3'd4: begin
-                       litedramcore_bankmachine2_row_close <= 1'd1;
+                       if (litedramcore_bankmachine2_twtpcon_ready) begin
+                               litedramcore_bankmachine2_refresh_gnt <= 1'd1;
+                       end
                end
                3'd5: begin
                end
@@ -6497,13 +6506,19 @@ end
 reg dummy_d_162;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine2_cmd_payload_cas <= 1'd0;
+       litedramcore_bankmachine2_cmd_valid <= 1'd0;
        case (bankmachine2_state)
                1'd1: begin
+                       if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin
+                               litedramcore_bankmachine2_cmd_valid <= 1'd1;
+                       end
                end
                2'd2: begin
                end
                2'd3: begin
+                       if (litedramcore_bankmachine2_trccon_ready) begin
+                               litedramcore_bankmachine2_cmd_valid <= 1'd1;
+                       end
                end
                3'd4: begin
                end
@@ -6521,7 +6536,7 @@ always @(*) begin
                                if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin
                                        if (litedramcore_bankmachine2_row_opened) begin
                                                if (litedramcore_bankmachine2_row_hit) begin
-                                                       litedramcore_bankmachine2_cmd_payload_cas <= 1'd1;
+                                                       litedramcore_bankmachine2_cmd_valid <= 1'd1;
                                                end else begin
                                                end
                                        end else begin
@@ -6539,7 +6554,7 @@ end
 reg dummy_d_163;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd0;
+       litedramcore_bankmachine2_row_open <= 1'd0;
        case (bankmachine2_state)
                1'd1: begin
                end
@@ -6547,7 +6562,7 @@ always @(*) begin
                end
                2'd3: begin
                        if (litedramcore_bankmachine2_trccon_ready) begin
-                               litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd1;
+                               litedramcore_bankmachine2_row_open <= 1'd1;
                        end
                end
                3'd4: begin
@@ -6572,21 +6587,18 @@ end
 reg dummy_d_164;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine2_cmd_payload_ras <= 1'd0;
+       litedramcore_bankmachine2_row_close <= 1'd0;
        case (bankmachine2_state)
                1'd1: begin
-                       if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin
-                               litedramcore_bankmachine2_cmd_payload_ras <= 1'd1;
-                       end
+                       litedramcore_bankmachine2_row_close <= 1'd1;
                end
                2'd2: begin
+                       litedramcore_bankmachine2_row_close <= 1'd1;
                end
                2'd3: begin
-                       if (litedramcore_bankmachine2_trccon_ready) begin
-                               litedramcore_bankmachine2_cmd_payload_ras <= 1'd1;
-                       end
                end
                3'd4: begin
+                       litedramcore_bankmachine2_row_close <= 1'd1;
                end
                3'd5: begin
                end
@@ -6608,12 +6620,9 @@ end
 reg dummy_d_165;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine2_cmd_payload_we <= 1'd0;
+       litedramcore_bankmachine2_cmd_payload_cas <= 1'd0;
        case (bankmachine2_state)
                1'd1: begin
-                       if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin
-                               litedramcore_bankmachine2_cmd_payload_we <= 1'd1;
-                       end
                end
                2'd2: begin
                end
@@ -6635,10 +6644,7 @@ always @(*) begin
                                if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin
                                        if (litedramcore_bankmachine2_row_opened) begin
                                                if (litedramcore_bankmachine2_row_hit) begin
-                                                       if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin
-                                                               litedramcore_bankmachine2_cmd_payload_we <= 1'd1;
-                                                       end else begin
-                                                       end
+                                                       litedramcore_bankmachine2_cmd_payload_cas <= 1'd1;
                                                end else begin
                                                end
                                        end else begin
@@ -6656,22 +6662,21 @@ end
 reg dummy_d_166;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd0;
+       litedramcore_bankmachine2_cmd_payload_ras <= 1'd0;
        case (bankmachine2_state)
                1'd1: begin
                        if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin
-                               litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1;
+                               litedramcore_bankmachine2_cmd_payload_ras <= 1'd1;
                        end
                end
                2'd2: begin
                end
                2'd3: begin
                        if (litedramcore_bankmachine2_trccon_ready) begin
-                               litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1;
+                               litedramcore_bankmachine2_cmd_payload_ras <= 1'd1;
                        end
                end
                3'd4: begin
-                       litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1;
                end
                3'd5: begin
                end
@@ -6693,9 +6698,12 @@ end
 reg dummy_d_167;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine2_cmd_payload_is_read <= 1'd0;
+       litedramcore_bankmachine2_cmd_payload_we <= 1'd0;
        case (bankmachine2_state)
                1'd1: begin
+                       if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin
+                               litedramcore_bankmachine2_cmd_payload_we <= 1'd1;
+                       end
                end
                2'd2: begin
                end
@@ -6718,8 +6726,8 @@ always @(*) begin
                                        if (litedramcore_bankmachine2_row_opened) begin
                                                if (litedramcore_bankmachine2_row_hit) begin
                                                        if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin
+                                                               litedramcore_bankmachine2_cmd_payload_we <= 1'd1;
                                                        end else begin
-                                                               litedramcore_bankmachine2_cmd_payload_is_read <= 1'd1;
                                                        end
                                                end else begin
                                                end
@@ -6738,15 +6746,22 @@ end
 reg dummy_d_168;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine2_cmd_payload_is_write <= 1'd0;
+       litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd0;
        case (bankmachine2_state)
                1'd1: begin
+                       if ((litedramcore_bankmachine2_twtpcon_ready & litedramcore_bankmachine2_trascon_ready)) begin
+                               litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1;
+                       end
                end
                2'd2: begin
                end
                2'd3: begin
+                       if (litedramcore_bankmachine2_trccon_ready) begin
+                               litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1;
+                       end
                end
                3'd4: begin
+                       litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd1;
                end
                3'd5: begin
                end
@@ -6757,21 +6772,6 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
-                       if (litedramcore_bankmachine2_refresh_req) begin
-                       end else begin
-                               if (litedramcore_bankmachine2_cmd_buffer_source_valid) begin
-                                       if (litedramcore_bankmachine2_row_opened) begin
-                                               if (litedramcore_bankmachine2_row_hit) begin
-                                                       if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin
-                                                               litedramcore_bankmachine2_cmd_payload_is_write <= 1'd1;
-                                                       end else begin
-                                                       end
-                                               end else begin
-                                               end
-                                       end else begin
-                                       end
-                               end
-                       end
                end
        endcase
 // synthesis translate_off
@@ -6783,7 +6783,7 @@ end
 reg dummy_d_169;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine2_req_wdata_ready <= 1'd0;
+       litedramcore_bankmachine2_cmd_payload_is_read <= 1'd0;
        case (bankmachine2_state)
                1'd1: begin
                end
@@ -6808,8 +6808,8 @@ always @(*) begin
                                        if (litedramcore_bankmachine2_row_opened) begin
                                                if (litedramcore_bankmachine2_row_hit) begin
                                                        if (litedramcore_bankmachine2_cmd_buffer_source_payload_we) begin
-                                                               litedramcore_bankmachine2_req_wdata_ready <= litedramcore_bankmachine2_cmd_ready;
                                                        end else begin
+                                                               litedramcore_bankmachine2_cmd_payload_is_read <= 1'd1;
                                                        end
                                                end else begin
                                                end
@@ -6983,7 +6983,7 @@ end
 reg dummy_d_174;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine3_req_rdata_valid <= 1'd0;
+       litedramcore_bankmachine3_cmd_payload_is_write <= 1'd0;
        case (bankmachine3_state)
                1'd1: begin
                end
@@ -7008,8 +7008,8 @@ always @(*) begin
                                        if (litedramcore_bankmachine3_row_opened) begin
                                                if (litedramcore_bankmachine3_row_hit) begin
                                                        if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin
+                                                               litedramcore_bankmachine3_cmd_payload_is_write <= 1'd1;
                                                        end else begin
-                                                               litedramcore_bankmachine3_req_rdata_valid <= litedramcore_bankmachine3_cmd_ready;
                                                        end
                                                end else begin
                                                end
@@ -7028,7 +7028,7 @@ end
 reg dummy_d_175;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine3_refresh_gnt <= 1'd0;
+       litedramcore_bankmachine3_req_wdata_ready <= 1'd0;
        case (bankmachine3_state)
                1'd1: begin
                end
@@ -7037,9 +7037,6 @@ always @(*) begin
                2'd3: begin
                end
                3'd4: begin
-                       if (litedramcore_bankmachine3_twtpcon_ready) begin
-                               litedramcore_bankmachine3_refresh_gnt <= 1'd1;
-                       end
                end
                3'd5: begin
                end
@@ -7050,6 +7047,21 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
+                       if (litedramcore_bankmachine3_refresh_req) begin
+                       end else begin
+                               if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
+                                       if (litedramcore_bankmachine3_row_opened) begin
+                                               if (litedramcore_bankmachine3_row_hit) begin
+                                                       if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin
+                                                               litedramcore_bankmachine3_req_wdata_ready <= litedramcore_bankmachine3_cmd_ready;
+                                                       end else begin
+                                                       end
+                                               end else begin
+                                               end
+                                       end else begin
+                                       end
+                               end
+                       end
                end
        endcase
 // synthesis translate_off
@@ -7061,19 +7073,13 @@ end
 reg dummy_d_176;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine3_cmd_valid <= 1'd0;
+       litedramcore_bankmachine3_req_rdata_valid <= 1'd0;
        case (bankmachine3_state)
                1'd1: begin
-                       if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin
-                               litedramcore_bankmachine3_cmd_valid <= 1'd1;
-                       end
                end
                2'd2: begin
                end
                2'd3: begin
-                       if (litedramcore_bankmachine3_trccon_ready) begin
-                               litedramcore_bankmachine3_cmd_valid <= 1'd1;
-                       end
                end
                3'd4: begin
                end
@@ -7091,7 +7097,10 @@ always @(*) begin
                                if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
                                        if (litedramcore_bankmachine3_row_opened) begin
                                                if (litedramcore_bankmachine3_row_hit) begin
-                                                       litedramcore_bankmachine3_cmd_valid <= 1'd1;
+                                                       if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin
+                                                       end else begin
+                                                               litedramcore_bankmachine3_req_rdata_valid <= litedramcore_bankmachine3_cmd_ready;
+                                                       end
                                                end else begin
                                                end
                                        end else begin
@@ -7109,18 +7118,18 @@ end
 reg dummy_d_177;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine3_row_open <= 1'd0;
+       litedramcore_bankmachine3_refresh_gnt <= 1'd0;
        case (bankmachine3_state)
                1'd1: begin
                end
                2'd2: begin
                end
                2'd3: begin
-                       if (litedramcore_bankmachine3_trccon_ready) begin
-                               litedramcore_bankmachine3_row_open <= 1'd1;
-                       end
                end
                3'd4: begin
+                       if (litedramcore_bankmachine3_twtpcon_ready) begin
+                               litedramcore_bankmachine3_refresh_gnt <= 1'd1;
+                       end
                end
                3'd5: begin
                end
@@ -7142,18 +7151,21 @@ end
 reg dummy_d_178;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine3_row_close <= 1'd0;
+       litedramcore_bankmachine3_cmd_valid <= 1'd0;
        case (bankmachine3_state)
                1'd1: begin
-                       litedramcore_bankmachine3_row_close <= 1'd1;
+                       if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin
+                               litedramcore_bankmachine3_cmd_valid <= 1'd1;
+                       end
                end
                2'd2: begin
-                       litedramcore_bankmachine3_row_close <= 1'd1;
                end
                2'd3: begin
+                       if (litedramcore_bankmachine3_trccon_ready) begin
+                               litedramcore_bankmachine3_cmd_valid <= 1'd1;
+                       end
                end
                3'd4: begin
-                       litedramcore_bankmachine3_row_close <= 1'd1;
                end
                3'd5: begin
                end
@@ -7164,6 +7176,18 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
+                       if (litedramcore_bankmachine3_refresh_req) begin
+                       end else begin
+                               if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
+                                       if (litedramcore_bankmachine3_row_opened) begin
+                                               if (litedramcore_bankmachine3_row_hit) begin
+                                                       litedramcore_bankmachine3_cmd_valid <= 1'd1;
+                                               end else begin
+                                               end
+                                       end else begin
+                                       end
+                               end
+                       end
                end
        endcase
 // synthesis translate_off
@@ -7175,13 +7199,16 @@ end
 reg dummy_d_179;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine3_cmd_payload_cas <= 1'd0;
+       litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd0;
        case (bankmachine3_state)
                1'd1: begin
                end
                2'd2: begin
                end
                2'd3: begin
+                       if (litedramcore_bankmachine3_trccon_ready) begin
+                               litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd1;
+                       end
                end
                3'd4: begin
                end
@@ -7194,18 +7221,6 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
-                       if (litedramcore_bankmachine3_refresh_req) begin
-                       end else begin
-                               if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
-                                       if (litedramcore_bankmachine3_row_opened) begin
-                                               if (litedramcore_bankmachine3_row_hit) begin
-                                                       litedramcore_bankmachine3_cmd_payload_cas <= 1'd1;
-                                               end else begin
-                                               end
-                                       end else begin
-                                       end
-                               end
-                       end
                end
        endcase
 // synthesis translate_off
@@ -7217,18 +7232,15 @@ end
 reg dummy_d_180;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine3_cmd_payload_ras <= 1'd0;
+       litedramcore_bankmachine3_row_open <= 1'd0;
        case (bankmachine3_state)
                1'd1: begin
-                       if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin
-                               litedramcore_bankmachine3_cmd_payload_ras <= 1'd1;
-                       end
                end
                2'd2: begin
                end
                2'd3: begin
                        if (litedramcore_bankmachine3_trccon_ready) begin
-                               litedramcore_bankmachine3_cmd_payload_ras <= 1'd1;
+                               litedramcore_bankmachine3_row_open <= 1'd1;
                        end
                end
                3'd4: begin
@@ -7253,18 +7265,18 @@ end
 reg dummy_d_181;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine3_cmd_payload_we <= 1'd0;
+       litedramcore_bankmachine3_row_close <= 1'd0;
        case (bankmachine3_state)
                1'd1: begin
-                       if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin
-                               litedramcore_bankmachine3_cmd_payload_we <= 1'd1;
-                       end
+                       litedramcore_bankmachine3_row_close <= 1'd1;
                end
                2'd2: begin
+                       litedramcore_bankmachine3_row_close <= 1'd1;
                end
                2'd3: begin
                end
                3'd4: begin
+                       litedramcore_bankmachine3_row_close <= 1'd1;
                end
                3'd5: begin
                end
@@ -7275,21 +7287,6 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
-                       if (litedramcore_bankmachine3_refresh_req) begin
-                       end else begin
-                               if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
-                                       if (litedramcore_bankmachine3_row_opened) begin
-                                               if (litedramcore_bankmachine3_row_hit) begin
-                                                       if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin
-                                                               litedramcore_bankmachine3_cmd_payload_we <= 1'd1;
-                                                       end else begin
-                                                       end
-                                               end else begin
-                                               end
-                                       end else begin
-                                       end
-                               end
-                       end
                end
        endcase
 // synthesis translate_off
@@ -7301,22 +7298,15 @@ end
 reg dummy_d_182;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd0;
+       litedramcore_bankmachine3_cmd_payload_cas <= 1'd0;
        case (bankmachine3_state)
                1'd1: begin
-                       if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin
-                               litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1;
-                       end
                end
                2'd2: begin
                end
                2'd3: begin
-                       if (litedramcore_bankmachine3_trccon_ready) begin
-                               litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1;
-                       end
                end
                3'd4: begin
-                       litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1;
                end
                3'd5: begin
                end
@@ -7327,6 +7317,18 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
+                       if (litedramcore_bankmachine3_refresh_req) begin
+                       end else begin
+                               if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
+                                       if (litedramcore_bankmachine3_row_opened) begin
+                                               if (litedramcore_bankmachine3_row_hit) begin
+                                                       litedramcore_bankmachine3_cmd_payload_cas <= 1'd1;
+                                               end else begin
+                                               end
+                                       end else begin
+                                       end
+                               end
+                       end
                end
        endcase
 // synthesis translate_off
@@ -7338,13 +7340,19 @@ end
 reg dummy_d_183;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine3_cmd_payload_is_read <= 1'd0;
+       litedramcore_bankmachine3_cmd_payload_ras <= 1'd0;
        case (bankmachine3_state)
                1'd1: begin
+                       if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin
+                               litedramcore_bankmachine3_cmd_payload_ras <= 1'd1;
+                       end
                end
                2'd2: begin
                end
                2'd3: begin
+                       if (litedramcore_bankmachine3_trccon_ready) begin
+                               litedramcore_bankmachine3_cmd_payload_ras <= 1'd1;
+                       end
                end
                3'd4: begin
                end
@@ -7357,21 +7365,6 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
-                       if (litedramcore_bankmachine3_refresh_req) begin
-                       end else begin
-                               if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
-                                       if (litedramcore_bankmachine3_row_opened) begin
-                                               if (litedramcore_bankmachine3_row_hit) begin
-                                                       if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin
-                                                       end else begin
-                                                               litedramcore_bankmachine3_cmd_payload_is_read <= 1'd1;
-                                                       end
-                                               end else begin
-                                               end
-                                       end else begin
-                                       end
-                               end
-                       end
                end
        endcase
 // synthesis translate_off
@@ -7383,16 +7376,16 @@ end
 reg dummy_d_184;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd0;
+       litedramcore_bankmachine3_cmd_payload_we <= 1'd0;
        case (bankmachine3_state)
                1'd1: begin
+                       if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin
+                               litedramcore_bankmachine3_cmd_payload_we <= 1'd1;
+                       end
                end
                2'd2: begin
                end
                2'd3: begin
-                       if (litedramcore_bankmachine3_trccon_ready) begin
-                               litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd1;
-                       end
                end
                3'd4: begin
                end
@@ -7405,6 +7398,21 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
+                       if (litedramcore_bankmachine3_refresh_req) begin
+                       end else begin
+                               if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
+                                       if (litedramcore_bankmachine3_row_opened) begin
+                                               if (litedramcore_bankmachine3_row_hit) begin
+                                                       if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin
+                                                               litedramcore_bankmachine3_cmd_payload_we <= 1'd1;
+                                                       end else begin
+                                                       end
+                                               end else begin
+                                               end
+                                       end else begin
+                                       end
+                               end
+                       end
                end
        endcase
 // synthesis translate_off
@@ -7416,15 +7424,22 @@ end
 reg dummy_d_185;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine3_cmd_payload_is_write <= 1'd0;
+       litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd0;
        case (bankmachine3_state)
                1'd1: begin
+                       if ((litedramcore_bankmachine3_twtpcon_ready & litedramcore_bankmachine3_trascon_ready)) begin
+                               litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1;
+                       end
                end
                2'd2: begin
                end
                2'd3: begin
+                       if (litedramcore_bankmachine3_trccon_ready) begin
+                               litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1;
+                       end
                end
                3'd4: begin
+                       litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd1;
                end
                3'd5: begin
                end
@@ -7435,21 +7450,6 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
-                       if (litedramcore_bankmachine3_refresh_req) begin
-                       end else begin
-                               if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
-                                       if (litedramcore_bankmachine3_row_opened) begin
-                                               if (litedramcore_bankmachine3_row_hit) begin
-                                                       if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin
-                                                               litedramcore_bankmachine3_cmd_payload_is_write <= 1'd1;
-                                                       end else begin
-                                                       end
-                                               end else begin
-                                               end
-                                       end else begin
-                                       end
-                               end
-                       end
                end
        endcase
 // synthesis translate_off
@@ -7461,7 +7461,7 @@ end
 reg dummy_d_186;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine3_req_wdata_ready <= 1'd0;
+       litedramcore_bankmachine3_cmd_payload_is_read <= 1'd0;
        case (bankmachine3_state)
                1'd1: begin
                end
@@ -7486,8 +7486,8 @@ always @(*) begin
                                        if (litedramcore_bankmachine3_row_opened) begin
                                                if (litedramcore_bankmachine3_row_hit) begin
                                                        if (litedramcore_bankmachine3_cmd_buffer_source_payload_we) begin
-                                                               litedramcore_bankmachine3_req_wdata_ready <= litedramcore_bankmachine3_cmd_ready;
                                                        end else begin
+                                                               litedramcore_bankmachine3_cmd_payload_is_read <= 1'd1;
                                                        end
                                                end else begin
                                                end
@@ -7661,7 +7661,7 @@ end
 reg dummy_d_191;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine4_req_rdata_valid <= 1'd0;
+       litedramcore_bankmachine4_cmd_payload_is_write <= 1'd0;
        case (bankmachine4_state)
                1'd1: begin
                end
@@ -7686,8 +7686,8 @@ always @(*) begin
                                        if (litedramcore_bankmachine4_row_opened) begin
                                                if (litedramcore_bankmachine4_row_hit) begin
                                                        if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin
+                                                               litedramcore_bankmachine4_cmd_payload_is_write <= 1'd1;
                                                        end else begin
-                                                               litedramcore_bankmachine4_req_rdata_valid <= litedramcore_bankmachine4_cmd_ready;
                                                        end
                                                end else begin
                                                end
@@ -7706,16 +7706,13 @@ end
 reg dummy_d_192;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd0;
+       litedramcore_bankmachine4_req_wdata_ready <= 1'd0;
        case (bankmachine4_state)
                1'd1: begin
                end
                2'd2: begin
                end
                2'd3: begin
-                       if (litedramcore_bankmachine4_trccon_ready) begin
-                               litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd1;
-                       end
                end
                3'd4: begin
                end
@@ -7728,6 +7725,21 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
+                       if (litedramcore_bankmachine4_refresh_req) begin
+                       end else begin
+                               if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin
+                                       if (litedramcore_bankmachine4_row_opened) begin
+                                               if (litedramcore_bankmachine4_row_hit) begin
+                                                       if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin
+                                                               litedramcore_bankmachine4_req_wdata_ready <= litedramcore_bankmachine4_cmd_ready;
+                                                       end else begin
+                                                       end
+                                               end else begin
+                                               end
+                                       end else begin
+                                       end
+                               end
+                       end
                end
        endcase
 // synthesis translate_off
@@ -7739,19 +7751,13 @@ end
 reg dummy_d_193;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine4_cmd_valid <= 1'd0;
+       litedramcore_bankmachine4_req_rdata_valid <= 1'd0;
        case (bankmachine4_state)
                1'd1: begin
-                       if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin
-                               litedramcore_bankmachine4_cmd_valid <= 1'd1;
-                       end
                end
                2'd2: begin
                end
                2'd3: begin
-                       if (litedramcore_bankmachine4_trccon_ready) begin
-                               litedramcore_bankmachine4_cmd_valid <= 1'd1;
-                       end
                end
                3'd4: begin
                end
@@ -7769,7 +7775,10 @@ always @(*) begin
                                if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin
                                        if (litedramcore_bankmachine4_row_opened) begin
                                                if (litedramcore_bankmachine4_row_hit) begin
-                                                       litedramcore_bankmachine4_cmd_valid <= 1'd1;
+                                                       if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin
+                                                       end else begin
+                                                               litedramcore_bankmachine4_req_rdata_valid <= litedramcore_bankmachine4_cmd_ready;
+                                                       end
                                                end else begin
                                                end
                                        end else begin
@@ -7820,15 +7829,18 @@ end
 reg dummy_d_195;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine4_row_open <= 1'd0;
+       litedramcore_bankmachine4_cmd_valid <= 1'd0;
        case (bankmachine4_state)
                1'd1: begin
+                       if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin
+                               litedramcore_bankmachine4_cmd_valid <= 1'd1;
+                       end
                end
                2'd2: begin
                end
                2'd3: begin
                        if (litedramcore_bankmachine4_trccon_ready) begin
-                               litedramcore_bankmachine4_row_open <= 1'd1;
+                               litedramcore_bankmachine4_cmd_valid <= 1'd1;
                        end
                end
                3'd4: begin
@@ -7842,6 +7854,18 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
+                       if (litedramcore_bankmachine4_refresh_req) begin
+                       end else begin
+                               if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin
+                                       if (litedramcore_bankmachine4_row_opened) begin
+                                               if (litedramcore_bankmachine4_row_hit) begin
+                                                       litedramcore_bankmachine4_cmd_valid <= 1'd1;
+                                               end else begin
+                                               end
+                                       end else begin
+                                       end
+                               end
+                       end
                end
        endcase
 // synthesis translate_off
@@ -7853,18 +7877,18 @@ end
 reg dummy_d_196;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine4_row_close <= 1'd0;
+       litedramcore_bankmachine4_row_open <= 1'd0;
        case (bankmachine4_state)
                1'd1: begin
-                       litedramcore_bankmachine4_row_close <= 1'd1;
                end
                2'd2: begin
-                       litedramcore_bankmachine4_row_close <= 1'd1;
                end
                2'd3: begin
+                       if (litedramcore_bankmachine4_trccon_ready) begin
+                               litedramcore_bankmachine4_row_open <= 1'd1;
+                       end
                end
                3'd4: begin
-                       litedramcore_bankmachine4_row_close <= 1'd1;
                end
                3'd5: begin
                end
@@ -7886,15 +7910,18 @@ end
 reg dummy_d_197;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine4_cmd_payload_cas <= 1'd0;
+       litedramcore_bankmachine4_row_close <= 1'd0;
        case (bankmachine4_state)
                1'd1: begin
+                       litedramcore_bankmachine4_row_close <= 1'd1;
                end
                2'd2: begin
+                       litedramcore_bankmachine4_row_close <= 1'd1;
                end
                2'd3: begin
                end
                3'd4: begin
+                       litedramcore_bankmachine4_row_close <= 1'd1;
                end
                3'd5: begin
                end
@@ -7905,18 +7932,6 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
-                       if (litedramcore_bankmachine4_refresh_req) begin
-                       end else begin
-                               if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin
-                                       if (litedramcore_bankmachine4_row_opened) begin
-                                               if (litedramcore_bankmachine4_row_hit) begin
-                                                       litedramcore_bankmachine4_cmd_payload_cas <= 1'd1;
-                                               end else begin
-                                               end
-                                       end else begin
-                                       end
-                               end
-                       end
                end
        endcase
 // synthesis translate_off
@@ -7928,18 +7943,15 @@ end
 reg dummy_d_198;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine4_cmd_payload_ras <= 1'd0;
+       litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd0;
        case (bankmachine4_state)
                1'd1: begin
-                       if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin
-                               litedramcore_bankmachine4_cmd_payload_ras <= 1'd1;
-                       end
                end
                2'd2: begin
                end
                2'd3: begin
                        if (litedramcore_bankmachine4_trccon_ready) begin
-                               litedramcore_bankmachine4_cmd_payload_ras <= 1'd1;
+                               litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd1;
                        end
                end
                3'd4: begin
@@ -7964,12 +7976,9 @@ end
 reg dummy_d_199;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine4_cmd_payload_we <= 1'd0;
+       litedramcore_bankmachine4_cmd_payload_cas <= 1'd0;
        case (bankmachine4_state)
                1'd1: begin
-                       if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin
-                               litedramcore_bankmachine4_cmd_payload_we <= 1'd1;
-                       end
                end
                2'd2: begin
                end
@@ -7991,10 +8000,7 @@ always @(*) begin
                                if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin
                                        if (litedramcore_bankmachine4_row_opened) begin
                                                if (litedramcore_bankmachine4_row_hit) begin
-                                                       if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin
-                                                               litedramcore_bankmachine4_cmd_payload_we <= 1'd1;
-                                                       end else begin
-                                                       end
+                                                       litedramcore_bankmachine4_cmd_payload_cas <= 1'd1;
                                                end else begin
                                                end
                                        end else begin
@@ -8012,22 +8018,21 @@ end
 reg dummy_d_200;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd0;
+       litedramcore_bankmachine4_cmd_payload_ras <= 1'd0;
        case (bankmachine4_state)
                1'd1: begin
                        if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin
-                               litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1;
+                               litedramcore_bankmachine4_cmd_payload_ras <= 1'd1;
                        end
                end
                2'd2: begin
                end
                2'd3: begin
                        if (litedramcore_bankmachine4_trccon_ready) begin
-                               litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1;
+                               litedramcore_bankmachine4_cmd_payload_ras <= 1'd1;
                        end
                end
                3'd4: begin
-                       litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1;
                end
                3'd5: begin
                end
@@ -8049,9 +8054,12 @@ end
 reg dummy_d_201;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine4_cmd_payload_is_read <= 1'd0;
+       litedramcore_bankmachine4_cmd_payload_we <= 1'd0;
        case (bankmachine4_state)
                1'd1: begin
+                       if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin
+                               litedramcore_bankmachine4_cmd_payload_we <= 1'd1;
+                       end
                end
                2'd2: begin
                end
@@ -8074,8 +8082,8 @@ always @(*) begin
                                        if (litedramcore_bankmachine4_row_opened) begin
                                                if (litedramcore_bankmachine4_row_hit) begin
                                                        if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin
+                                                               litedramcore_bankmachine4_cmd_payload_we <= 1'd1;
                                                        end else begin
-                                                               litedramcore_bankmachine4_cmd_payload_is_read <= 1'd1;
                                                        end
                                                end else begin
                                                end
@@ -8094,15 +8102,22 @@ end
 reg dummy_d_202;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine4_cmd_payload_is_write <= 1'd0;
+       litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd0;
        case (bankmachine4_state)
                1'd1: begin
+                       if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin
+                               litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1;
+                       end
                end
                2'd2: begin
                end
                2'd3: begin
+                       if (litedramcore_bankmachine4_trccon_ready) begin
+                               litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1;
+                       end
                end
                3'd4: begin
+                       litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd1;
                end
                3'd5: begin
                end
@@ -8113,21 +8128,6 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
-                       if (litedramcore_bankmachine4_refresh_req) begin
-                       end else begin
-                               if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin
-                                       if (litedramcore_bankmachine4_row_opened) begin
-                                               if (litedramcore_bankmachine4_row_hit) begin
-                                                       if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin
-                                                               litedramcore_bankmachine4_cmd_payload_is_write <= 1'd1;
-                                                       end else begin
-                                                       end
-                                               end else begin
-                                               end
-                                       end else begin
-                                       end
-                               end
-                       end
                end
        endcase
 // synthesis translate_off
@@ -8139,7 +8139,7 @@ end
 reg dummy_d_203;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine4_req_wdata_ready <= 1'd0;
+       litedramcore_bankmachine4_cmd_payload_is_read <= 1'd0;
        case (bankmachine4_state)
                1'd1: begin
                end
@@ -8164,8 +8164,8 @@ always @(*) begin
                                        if (litedramcore_bankmachine4_row_opened) begin
                                                if (litedramcore_bankmachine4_row_hit) begin
                                                        if (litedramcore_bankmachine4_cmd_buffer_source_payload_we) begin
-                                                               litedramcore_bankmachine4_req_wdata_ready <= litedramcore_bankmachine4_cmd_ready;
                                                        end else begin
+                                                               litedramcore_bankmachine4_cmd_payload_is_read <= 1'd1;
                                                        end
                                                end else begin
                                                end
@@ -8339,7 +8339,7 @@ end
 reg dummy_d_208;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine5_req_rdata_valid <= 1'd0;
+       litedramcore_bankmachine5_cmd_payload_is_write <= 1'd0;
        case (bankmachine5_state)
                1'd1: begin
                end
@@ -8364,8 +8364,8 @@ always @(*) begin
                                        if (litedramcore_bankmachine5_row_opened) begin
                                                if (litedramcore_bankmachine5_row_hit) begin
                                                        if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin
+                                                               litedramcore_bankmachine5_cmd_payload_is_write <= 1'd1;
                                                        end else begin
-                                                               litedramcore_bankmachine5_req_rdata_valid <= litedramcore_bankmachine5_cmd_ready;
                                                        end
                                                end else begin
                                                end
@@ -8384,7 +8384,7 @@ end
 reg dummy_d_209;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine5_refresh_gnt <= 1'd0;
+       litedramcore_bankmachine5_req_wdata_ready <= 1'd0;
        case (bankmachine5_state)
                1'd1: begin
                end
@@ -8393,9 +8393,6 @@ always @(*) begin
                2'd3: begin
                end
                3'd4: begin
-                       if (litedramcore_bankmachine5_twtpcon_ready) begin
-                               litedramcore_bankmachine5_refresh_gnt <= 1'd1;
-                       end
                end
                3'd5: begin
                end
@@ -8406,6 +8403,21 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
+                       if (litedramcore_bankmachine5_refresh_req) begin
+                       end else begin
+                               if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin
+                                       if (litedramcore_bankmachine5_row_opened) begin
+                                               if (litedramcore_bankmachine5_row_hit) begin
+                                                       if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin
+                                                               litedramcore_bankmachine5_req_wdata_ready <= litedramcore_bankmachine5_cmd_ready;
+                                                       end else begin
+                                                       end
+                                               end else begin
+                                               end
+                                       end else begin
+                                       end
+                               end
+                       end
                end
        endcase
 // synthesis translate_off
@@ -8417,19 +8429,13 @@ end
 reg dummy_d_210;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine5_cmd_valid <= 1'd0;
+       litedramcore_bankmachine5_req_rdata_valid <= 1'd0;
        case (bankmachine5_state)
                1'd1: begin
-                       if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin
-                               litedramcore_bankmachine5_cmd_valid <= 1'd1;
-                       end
                end
                2'd2: begin
                end
                2'd3: begin
-                       if (litedramcore_bankmachine5_trccon_ready) begin
-                               litedramcore_bankmachine5_cmd_valid <= 1'd1;
-                       end
                end
                3'd4: begin
                end
@@ -8447,7 +8453,10 @@ always @(*) begin
                                if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin
                                        if (litedramcore_bankmachine5_row_opened) begin
                                                if (litedramcore_bankmachine5_row_hit) begin
-                                                       litedramcore_bankmachine5_cmd_valid <= 1'd1;
+                                                       if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin
+                                                       end else begin
+                                                               litedramcore_bankmachine5_req_rdata_valid <= litedramcore_bankmachine5_cmd_ready;
+                                                       end
                                                end else begin
                                                end
                                        end else begin
@@ -8465,18 +8474,18 @@ end
 reg dummy_d_211;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd0;
+       litedramcore_bankmachine5_refresh_gnt <= 1'd0;
        case (bankmachine5_state)
                1'd1: begin
                end
                2'd2: begin
                end
                2'd3: begin
-                       if (litedramcore_bankmachine5_trccon_ready) begin
-                               litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd1;
-                       end
                end
                3'd4: begin
+                       if (litedramcore_bankmachine5_twtpcon_ready) begin
+                               litedramcore_bankmachine5_refresh_gnt <= 1'd1;
+                       end
                end
                3'd5: begin
                end
@@ -8498,15 +8507,18 @@ end
 reg dummy_d_212;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine5_row_open <= 1'd0;
+       litedramcore_bankmachine5_cmd_valid <= 1'd0;
        case (bankmachine5_state)
                1'd1: begin
+                       if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin
+                               litedramcore_bankmachine5_cmd_valid <= 1'd1;
+                       end
                end
                2'd2: begin
                end
                2'd3: begin
                        if (litedramcore_bankmachine5_trccon_ready) begin
-                               litedramcore_bankmachine5_row_open <= 1'd1;
+                               litedramcore_bankmachine5_cmd_valid <= 1'd1;
                        end
                end
                3'd4: begin
@@ -8520,6 +8532,18 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
+                       if (litedramcore_bankmachine5_refresh_req) begin
+                       end else begin
+                               if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin
+                                       if (litedramcore_bankmachine5_row_opened) begin
+                                               if (litedramcore_bankmachine5_row_hit) begin
+                                                       litedramcore_bankmachine5_cmd_valid <= 1'd1;
+                                               end else begin
+                                               end
+                                       end else begin
+                                       end
+                               end
+                       end
                end
        endcase
 // synthesis translate_off
@@ -8530,6 +8554,39 @@ end
 // synthesis translate_off
 reg dummy_d_213;
 // synthesis translate_on
+always @(*) begin
+       litedramcore_bankmachine5_row_open <= 1'd0;
+       case (bankmachine5_state)
+               1'd1: begin
+               end
+               2'd2: begin
+               end
+               2'd3: begin
+                       if (litedramcore_bankmachine5_trccon_ready) begin
+                               litedramcore_bankmachine5_row_open <= 1'd1;
+                       end
+               end
+               3'd4: begin
+               end
+               3'd5: begin
+               end
+               3'd6: begin
+               end
+               3'd7: begin
+               end
+               4'd8: begin
+               end
+               default: begin
+               end
+       endcase
+// synthesis translate_off
+       dummy_d_213 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_214;
+// synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine5_row_close <= 1'd0;
        case (bankmachine5_state)
@@ -8556,12 +8613,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_213 = dummy_s;
+       dummy_d_214 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_214;
+reg dummy_d_215;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine5_cmd_payload_cas <= 1'd0;
@@ -8598,12 +8655,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_214 = dummy_s;
+       dummy_d_215 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_215;
+reg dummy_d_216;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine5_cmd_payload_ras <= 1'd0;
@@ -8634,12 +8691,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_215 = dummy_s;
+       dummy_d_216 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_216;
+reg dummy_d_217;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine5_cmd_payload_we <= 1'd0;
@@ -8682,12 +8739,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_216 = dummy_s;
+       dummy_d_217 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_217;
+reg dummy_d_218;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd0;
@@ -8718,51 +8775,6 @@ always @(*) begin
                default: begin
                end
        endcase
-// synthesis translate_off
-       dummy_d_217 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_218;
-// synthesis translate_on
-always @(*) begin
-       litedramcore_bankmachine5_cmd_payload_is_read <= 1'd0;
-       case (bankmachine5_state)
-               1'd1: begin
-               end
-               2'd2: begin
-               end
-               2'd3: begin
-               end
-               3'd4: begin
-               end
-               3'd5: begin
-               end
-               3'd6: begin
-               end
-               3'd7: begin
-               end
-               4'd8: begin
-               end
-               default: begin
-                       if (litedramcore_bankmachine5_refresh_req) begin
-                       end else begin
-                               if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin
-                                       if (litedramcore_bankmachine5_row_opened) begin
-                                               if (litedramcore_bankmachine5_row_hit) begin
-                                                       if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin
-                                                       end else begin
-                                                               litedramcore_bankmachine5_cmd_payload_is_read <= 1'd1;
-                                                       end
-                                               end else begin
-                                               end
-                                       end else begin
-                                       end
-                               end
-                       end
-               end
-       endcase
 // synthesis translate_off
        dummy_d_218 = dummy_s;
 // synthesis translate_on
@@ -8772,13 +8784,16 @@ end
 reg dummy_d_219;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine5_cmd_payload_is_write <= 1'd0;
+       litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd0;
        case (bankmachine5_state)
                1'd1: begin
                end
                2'd2: begin
                end
                2'd3: begin
+                       if (litedramcore_bankmachine5_trccon_ready) begin
+                               litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd1;
+                       end
                end
                3'd4: begin
                end
@@ -8791,21 +8806,6 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
-                       if (litedramcore_bankmachine5_refresh_req) begin
-                       end else begin
-                               if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin
-                                       if (litedramcore_bankmachine5_row_opened) begin
-                                               if (litedramcore_bankmachine5_row_hit) begin
-                                                       if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin
-                                                               litedramcore_bankmachine5_cmd_payload_is_write <= 1'd1;
-                                                       end else begin
-                                                       end
-                                               end else begin
-                                               end
-                                       end else begin
-                                       end
-                               end
-                       end
                end
        endcase
 // synthesis translate_off
@@ -8817,7 +8817,7 @@ end
 reg dummy_d_220;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine5_req_wdata_ready <= 1'd0;
+       litedramcore_bankmachine5_cmd_payload_is_read <= 1'd0;
        case (bankmachine5_state)
                1'd1: begin
                end
@@ -8842,8 +8842,8 @@ always @(*) begin
                                        if (litedramcore_bankmachine5_row_opened) begin
                                                if (litedramcore_bankmachine5_row_hit) begin
                                                        if (litedramcore_bankmachine5_cmd_buffer_source_payload_we) begin
-                                                               litedramcore_bankmachine5_req_wdata_ready <= litedramcore_bankmachine5_cmd_ready;
                                                        end else begin
+                                                               litedramcore_bankmachine5_cmd_payload_is_read <= 1'd1;
                                                        end
                                                end else begin
                                                end
@@ -9017,7 +9017,7 @@ end
 reg dummy_d_225;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine6_req_rdata_valid <= 1'd0;
+       litedramcore_bankmachine6_cmd_payload_is_write <= 1'd0;
        case (bankmachine6_state)
                1'd1: begin
                end
@@ -9042,8 +9042,8 @@ always @(*) begin
                                        if (litedramcore_bankmachine6_row_opened) begin
                                                if (litedramcore_bankmachine6_row_hit) begin
                                                        if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin
+                                                               litedramcore_bankmachine6_cmd_payload_is_write <= 1'd1;
                                                        end else begin
-                                                               litedramcore_bankmachine6_req_rdata_valid <= litedramcore_bankmachine6_cmd_ready;
                                                        end
                                                end else begin
                                                end
@@ -9062,7 +9062,7 @@ end
 reg dummy_d_226;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine6_refresh_gnt <= 1'd0;
+       litedramcore_bankmachine6_req_wdata_ready <= 1'd0;
        case (bankmachine6_state)
                1'd1: begin
                end
@@ -9071,9 +9071,6 @@ always @(*) begin
                2'd3: begin
                end
                3'd4: begin
-                       if (litedramcore_bankmachine6_twtpcon_ready) begin
-                               litedramcore_bankmachine6_refresh_gnt <= 1'd1;
-                       end
                end
                3'd5: begin
                end
@@ -9084,6 +9081,21 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
+                       if (litedramcore_bankmachine6_refresh_req) begin
+                       end else begin
+                               if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin
+                                       if (litedramcore_bankmachine6_row_opened) begin
+                                               if (litedramcore_bankmachine6_row_hit) begin
+                                                       if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin
+                                                               litedramcore_bankmachine6_req_wdata_ready <= litedramcore_bankmachine6_cmd_ready;
+                                                       end else begin
+                                                       end
+                                               end else begin
+                                               end
+                                       end else begin
+                                       end
+                               end
+                       end
                end
        endcase
 // synthesis translate_off
@@ -9095,19 +9107,13 @@ end
 reg dummy_d_227;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine6_cmd_valid <= 1'd0;
+       litedramcore_bankmachine6_req_rdata_valid <= 1'd0;
        case (bankmachine6_state)
                1'd1: begin
-                       if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin
-                               litedramcore_bankmachine6_cmd_valid <= 1'd1;
-                       end
                end
                2'd2: begin
                end
                2'd3: begin
-                       if (litedramcore_bankmachine6_trccon_ready) begin
-                               litedramcore_bankmachine6_cmd_valid <= 1'd1;
-                       end
                end
                3'd4: begin
                end
@@ -9125,7 +9131,10 @@ always @(*) begin
                                if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin
                                        if (litedramcore_bankmachine6_row_opened) begin
                                                if (litedramcore_bankmachine6_row_hit) begin
-                                                       litedramcore_bankmachine6_cmd_valid <= 1'd1;
+                                                       if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin
+                                                       end else begin
+                                                               litedramcore_bankmachine6_req_rdata_valid <= litedramcore_bankmachine6_cmd_ready;
+                                                       end
                                                end else begin
                                                end
                                        end else begin
@@ -9143,7 +9152,7 @@ end
 reg dummy_d_228;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine6_row_open <= 1'd0;
+       litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd0;
        case (bankmachine6_state)
                1'd1: begin
                end
@@ -9151,7 +9160,7 @@ always @(*) begin
                end
                2'd3: begin
                        if (litedramcore_bankmachine6_trccon_ready) begin
-                               litedramcore_bankmachine6_row_open <= 1'd1;
+                               litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd1;
                        end
                end
                3'd4: begin
@@ -9176,18 +9185,18 @@ end
 reg dummy_d_229;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine6_row_close <= 1'd0;
+       litedramcore_bankmachine6_refresh_gnt <= 1'd0;
        case (bankmachine6_state)
                1'd1: begin
-                       litedramcore_bankmachine6_row_close <= 1'd1;
                end
                2'd2: begin
-                       litedramcore_bankmachine6_row_close <= 1'd1;
                end
                2'd3: begin
                end
                3'd4: begin
-                       litedramcore_bankmachine6_row_close <= 1'd1;
+                       if (litedramcore_bankmachine6_twtpcon_ready) begin
+                               litedramcore_bankmachine6_refresh_gnt <= 1'd1;
+                       end
                end
                3'd5: begin
                end
@@ -9209,13 +9218,19 @@ end
 reg dummy_d_230;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine6_cmd_payload_cas <= 1'd0;
+       litedramcore_bankmachine6_cmd_valid <= 1'd0;
        case (bankmachine6_state)
                1'd1: begin
+                       if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin
+                               litedramcore_bankmachine6_cmd_valid <= 1'd1;
+                       end
                end
                2'd2: begin
                end
                2'd3: begin
+                       if (litedramcore_bankmachine6_trccon_ready) begin
+                               litedramcore_bankmachine6_cmd_valid <= 1'd1;
+                       end
                end
                3'd4: begin
                end
@@ -9233,7 +9248,7 @@ always @(*) begin
                                if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin
                                        if (litedramcore_bankmachine6_row_opened) begin
                                                if (litedramcore_bankmachine6_row_hit) begin
-                                                       litedramcore_bankmachine6_cmd_payload_cas <= 1'd1;
+                                                       litedramcore_bankmachine6_cmd_valid <= 1'd1;
                                                end else begin
                                                end
                                        end else begin
@@ -9251,18 +9266,15 @@ end
 reg dummy_d_231;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine6_cmd_payload_ras <= 1'd0;
+       litedramcore_bankmachine6_row_open <= 1'd0;
        case (bankmachine6_state)
                1'd1: begin
-                       if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin
-                               litedramcore_bankmachine6_cmd_payload_ras <= 1'd1;
-                       end
                end
                2'd2: begin
                end
                2'd3: begin
                        if (litedramcore_bankmachine6_trccon_ready) begin
-                               litedramcore_bankmachine6_cmd_payload_ras <= 1'd1;
+                               litedramcore_bankmachine6_row_open <= 1'd1;
                        end
                end
                3'd4: begin
@@ -9287,18 +9299,18 @@ end
 reg dummy_d_232;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine6_cmd_payload_we <= 1'd0;
+       litedramcore_bankmachine6_row_close <= 1'd0;
        case (bankmachine6_state)
                1'd1: begin
-                       if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin
-                               litedramcore_bankmachine6_cmd_payload_we <= 1'd1;
-                       end
+                       litedramcore_bankmachine6_row_close <= 1'd1;
                end
                2'd2: begin
+                       litedramcore_bankmachine6_row_close <= 1'd1;
                end
                2'd3: begin
                end
                3'd4: begin
+                       litedramcore_bankmachine6_row_close <= 1'd1;
                end
                3'd5: begin
                end
@@ -9309,21 +9321,6 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
-                       if (litedramcore_bankmachine6_refresh_req) begin
-                       end else begin
-                               if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin
-                                       if (litedramcore_bankmachine6_row_opened) begin
-                                               if (litedramcore_bankmachine6_row_hit) begin
-                                                       if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin
-                                                               litedramcore_bankmachine6_cmd_payload_we <= 1'd1;
-                                                       end else begin
-                                                       end
-                                               end else begin
-                                               end
-                                       end else begin
-                                       end
-                               end
-                       end
                end
        endcase
 // synthesis translate_off
@@ -9335,22 +9332,15 @@ end
 reg dummy_d_233;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd0;
+       litedramcore_bankmachine6_cmd_payload_cas <= 1'd0;
        case (bankmachine6_state)
                1'd1: begin
-                       if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin
-                               litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1;
-                       end
                end
                2'd2: begin
                end
                2'd3: begin
-                       if (litedramcore_bankmachine6_trccon_ready) begin
-                               litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1;
-                       end
                end
                3'd4: begin
-                       litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1;
                end
                3'd5: begin
                end
@@ -9361,6 +9351,18 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
+                       if (litedramcore_bankmachine6_refresh_req) begin
+                       end else begin
+                               if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin
+                                       if (litedramcore_bankmachine6_row_opened) begin
+                                               if (litedramcore_bankmachine6_row_hit) begin
+                                                       litedramcore_bankmachine6_cmd_payload_cas <= 1'd1;
+                                               end else begin
+                                               end
+                                       end else begin
+                                       end
+                               end
+                       end
                end
        endcase
 // synthesis translate_off
@@ -9372,15 +9374,18 @@ end
 reg dummy_d_234;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd0;
+       litedramcore_bankmachine6_cmd_payload_ras <= 1'd0;
        case (bankmachine6_state)
                1'd1: begin
+                       if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin
+                               litedramcore_bankmachine6_cmd_payload_ras <= 1'd1;
+                       end
                end
                2'd2: begin
                end
                2'd3: begin
                        if (litedramcore_bankmachine6_trccon_ready) begin
-                               litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd1;
+                               litedramcore_bankmachine6_cmd_payload_ras <= 1'd1;
                        end
                end
                3'd4: begin
@@ -9405,9 +9410,12 @@ end
 reg dummy_d_235;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine6_cmd_payload_is_read <= 1'd0;
+       litedramcore_bankmachine6_cmd_payload_we <= 1'd0;
        case (bankmachine6_state)
                1'd1: begin
+                       if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin
+                               litedramcore_bankmachine6_cmd_payload_we <= 1'd1;
+                       end
                end
                2'd2: begin
                end
@@ -9430,8 +9438,8 @@ always @(*) begin
                                        if (litedramcore_bankmachine6_row_opened) begin
                                                if (litedramcore_bankmachine6_row_hit) begin
                                                        if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin
+                                                               litedramcore_bankmachine6_cmd_payload_we <= 1'd1;
                                                        end else begin
-                                                               litedramcore_bankmachine6_cmd_payload_is_read <= 1'd1;
                                                        end
                                                end else begin
                                                end
@@ -9450,15 +9458,22 @@ end
 reg dummy_d_236;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine6_cmd_payload_is_write <= 1'd0;
+       litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd0;
        case (bankmachine6_state)
                1'd1: begin
+                       if ((litedramcore_bankmachine6_twtpcon_ready & litedramcore_bankmachine6_trascon_ready)) begin
+                               litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1;
+                       end
                end
                2'd2: begin
                end
                2'd3: begin
+                       if (litedramcore_bankmachine6_trccon_ready) begin
+                               litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1;
+                       end
                end
                3'd4: begin
+                       litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd1;
                end
                3'd5: begin
                end
@@ -9469,21 +9484,6 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
-                       if (litedramcore_bankmachine6_refresh_req) begin
-                       end else begin
-                               if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin
-                                       if (litedramcore_bankmachine6_row_opened) begin
-                                               if (litedramcore_bankmachine6_row_hit) begin
-                                                       if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin
-                                                               litedramcore_bankmachine6_cmd_payload_is_write <= 1'd1;
-                                                       end else begin
-                                                       end
-                                               end else begin
-                                               end
-                                       end else begin
-                                       end
-                               end
-                       end
                end
        endcase
 // synthesis translate_off
@@ -9495,7 +9495,7 @@ end
 reg dummy_d_237;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine6_req_wdata_ready <= 1'd0;
+       litedramcore_bankmachine6_cmd_payload_is_read <= 1'd0;
        case (bankmachine6_state)
                1'd1: begin
                end
@@ -9520,8 +9520,8 @@ always @(*) begin
                                        if (litedramcore_bankmachine6_row_opened) begin
                                                if (litedramcore_bankmachine6_row_hit) begin
                                                        if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin
-                                                               litedramcore_bankmachine6_req_wdata_ready <= litedramcore_bankmachine6_cmd_ready;
                                                        end else begin
+                                                               litedramcore_bankmachine6_cmd_payload_is_read <= 1'd1;
                                                        end
                                                end else begin
                                                end
@@ -9695,16 +9695,13 @@ end
 reg dummy_d_242;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd0;
+       litedramcore_bankmachine7_cmd_payload_is_write <= 1'd0;
        case (bankmachine7_state)
                1'd1: begin
                end
                2'd2: begin
                end
                2'd3: begin
-                       if (litedramcore_bankmachine7_trccon_ready) begin
-                               litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd1;
-                       end
                end
                3'd4: begin
                end
@@ -9717,6 +9714,21 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
+                       if (litedramcore_bankmachine7_refresh_req) begin
+                       end else begin
+                               if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
+                                       if (litedramcore_bankmachine7_row_opened) begin
+                                               if (litedramcore_bankmachine7_row_hit) begin
+                                                       if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin
+                                                               litedramcore_bankmachine7_cmd_payload_is_write <= 1'd1;
+                                                       end else begin
+                                                       end
+                                               end else begin
+                                               end
+                                       end else begin
+                                       end
+                               end
+                       end
                end
        endcase
 // synthesis translate_off
@@ -9728,7 +9740,7 @@ end
 reg dummy_d_243;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine7_req_rdata_valid <= 1'd0;
+       litedramcore_bankmachine7_req_wdata_ready <= 1'd0;
        case (bankmachine7_state)
                1'd1: begin
                end
@@ -9753,8 +9765,8 @@ always @(*) begin
                                        if (litedramcore_bankmachine7_row_opened) begin
                                                if (litedramcore_bankmachine7_row_hit) begin
                                                        if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin
+                                                               litedramcore_bankmachine7_req_wdata_ready <= litedramcore_bankmachine7_cmd_ready;
                                                        end else begin
-                                                               litedramcore_bankmachine7_req_rdata_valid <= litedramcore_bankmachine7_cmd_ready;
                                                        end
                                                end else begin
                                                end
@@ -9773,7 +9785,7 @@ end
 reg dummy_d_244;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine7_refresh_gnt <= 1'd0;
+       litedramcore_bankmachine7_req_rdata_valid <= 1'd0;
        case (bankmachine7_state)
                1'd1: begin
                end
@@ -9782,9 +9794,6 @@ always @(*) begin
                2'd3: begin
                end
                3'd4: begin
-                       if (litedramcore_bankmachine7_twtpcon_ready) begin
-                               litedramcore_bankmachine7_refresh_gnt <= 1'd1;
-                       end
                end
                3'd5: begin
                end
@@ -9795,6 +9804,21 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
+                       if (litedramcore_bankmachine7_refresh_req) begin
+                       end else begin
+                               if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
+                                       if (litedramcore_bankmachine7_row_opened) begin
+                                               if (litedramcore_bankmachine7_row_hit) begin
+                                                       if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin
+                                                       end else begin
+                                                               litedramcore_bankmachine7_req_rdata_valid <= litedramcore_bankmachine7_cmd_ready;
+                                                       end
+                                               end else begin
+                                               end
+                                       end else begin
+                                       end
+                               end
+                       end
                end
        endcase
 // synthesis translate_off
@@ -9806,21 +9830,18 @@ end
 reg dummy_d_245;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine7_cmd_valid <= 1'd0;
+       litedramcore_bankmachine7_refresh_gnt <= 1'd0;
        case (bankmachine7_state)
                1'd1: begin
-                       if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin
-                               litedramcore_bankmachine7_cmd_valid <= 1'd1;
-                       end
                end
                2'd2: begin
                end
                2'd3: begin
-                       if (litedramcore_bankmachine7_trccon_ready) begin
-                               litedramcore_bankmachine7_cmd_valid <= 1'd1;
-                       end
                end
                3'd4: begin
+                       if (litedramcore_bankmachine7_twtpcon_ready) begin
+                               litedramcore_bankmachine7_refresh_gnt <= 1'd1;
+                       end
                end
                3'd5: begin
                end
@@ -9831,18 +9852,6 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
-                       if (litedramcore_bankmachine7_refresh_req) begin
-                       end else begin
-                               if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
-                                       if (litedramcore_bankmachine7_row_opened) begin
-                                               if (litedramcore_bankmachine7_row_hit) begin
-                                                       litedramcore_bankmachine7_cmd_valid <= 1'd1;
-                                               end else begin
-                                               end
-                                       end else begin
-                                       end
-                               end
-                       end
                end
        endcase
 // synthesis translate_off
@@ -9854,15 +9863,18 @@ end
 reg dummy_d_246;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine7_row_open <= 1'd0;
+       litedramcore_bankmachine7_cmd_valid <= 1'd0;
        case (bankmachine7_state)
                1'd1: begin
+                       if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin
+                               litedramcore_bankmachine7_cmd_valid <= 1'd1;
+                       end
                end
                2'd2: begin
                end
                2'd3: begin
                        if (litedramcore_bankmachine7_trccon_ready) begin
-                               litedramcore_bankmachine7_row_open <= 1'd1;
+                               litedramcore_bankmachine7_cmd_valid <= 1'd1;
                        end
                end
                3'd4: begin
@@ -9876,6 +9888,18 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
+                       if (litedramcore_bankmachine7_refresh_req) begin
+                       end else begin
+                               if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
+                                       if (litedramcore_bankmachine7_row_opened) begin
+                                               if (litedramcore_bankmachine7_row_hit) begin
+                                                       litedramcore_bankmachine7_cmd_valid <= 1'd1;
+                                               end else begin
+                                               end
+                                       end else begin
+                                       end
+                               end
+                       end
                end
        endcase
 // synthesis translate_off
@@ -9887,18 +9911,18 @@ end
 reg dummy_d_247;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine7_row_close <= 1'd0;
+       litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd0;
        case (bankmachine7_state)
                1'd1: begin
-                       litedramcore_bankmachine7_row_close <= 1'd1;
                end
                2'd2: begin
-                       litedramcore_bankmachine7_row_close <= 1'd1;
                end
                2'd3: begin
+                       if (litedramcore_bankmachine7_trccon_ready) begin
+                               litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd1;
+                       end
                end
                3'd4: begin
-                       litedramcore_bankmachine7_row_close <= 1'd1;
                end
                3'd5: begin
                end
@@ -9920,13 +9944,16 @@ end
 reg dummy_d_248;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine7_cmd_payload_cas <= 1'd0;
+       litedramcore_bankmachine7_row_open <= 1'd0;
        case (bankmachine7_state)
                1'd1: begin
                end
                2'd2: begin
                end
                2'd3: begin
+                       if (litedramcore_bankmachine7_trccon_ready) begin
+                               litedramcore_bankmachine7_row_open <= 1'd1;
+                       end
                end
                3'd4: begin
                end
@@ -9939,18 +9966,6 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
-                       if (litedramcore_bankmachine7_refresh_req) begin
-                       end else begin
-                               if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
-                                       if (litedramcore_bankmachine7_row_opened) begin
-                                               if (litedramcore_bankmachine7_row_hit) begin
-                                                       litedramcore_bankmachine7_cmd_payload_cas <= 1'd1;
-                                               end else begin
-                                               end
-                                       end else begin
-                                       end
-                               end
-                       end
                end
        endcase
 // synthesis translate_off
@@ -9962,21 +9977,18 @@ end
 reg dummy_d_249;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine7_cmd_payload_ras <= 1'd0;
+       litedramcore_bankmachine7_row_close <= 1'd0;
        case (bankmachine7_state)
                1'd1: begin
-                       if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin
-                               litedramcore_bankmachine7_cmd_payload_ras <= 1'd1;
-                       end
+                       litedramcore_bankmachine7_row_close <= 1'd1;
                end
                2'd2: begin
+                       litedramcore_bankmachine7_row_close <= 1'd1;
                end
                2'd3: begin
-                       if (litedramcore_bankmachine7_trccon_ready) begin
-                               litedramcore_bankmachine7_cmd_payload_ras <= 1'd1;
-                       end
                end
                3'd4: begin
+                       litedramcore_bankmachine7_row_close <= 1'd1;
                end
                3'd5: begin
                end
@@ -9998,12 +10010,9 @@ end
 reg dummy_d_250;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine7_cmd_payload_we <= 1'd0;
+       litedramcore_bankmachine7_cmd_payload_cas <= 1'd0;
        case (bankmachine7_state)
                1'd1: begin
-                       if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin
-                               litedramcore_bankmachine7_cmd_payload_we <= 1'd1;
-                       end
                end
                2'd2: begin
                end
@@ -10025,10 +10034,7 @@ always @(*) begin
                                if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
                                        if (litedramcore_bankmachine7_row_opened) begin
                                                if (litedramcore_bankmachine7_row_hit) begin
-                                                       if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin
-                                                               litedramcore_bankmachine7_cmd_payload_we <= 1'd1;
-                                                       end else begin
-                                                       end
+                                                       litedramcore_bankmachine7_cmd_payload_cas <= 1'd1;
                                                end else begin
                                                end
                                        end else begin
@@ -10046,22 +10052,21 @@ end
 reg dummy_d_251;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd0;
+       litedramcore_bankmachine7_cmd_payload_ras <= 1'd0;
        case (bankmachine7_state)
                1'd1: begin
                        if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin
-                               litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1;
+                               litedramcore_bankmachine7_cmd_payload_ras <= 1'd1;
                        end
                end
                2'd2: begin
                end
                2'd3: begin
                        if (litedramcore_bankmachine7_trccon_ready) begin
-                               litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1;
+                               litedramcore_bankmachine7_cmd_payload_ras <= 1'd1;
                        end
                end
                3'd4: begin
-                       litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1;
                end
                3'd5: begin
                end
@@ -10083,9 +10088,12 @@ end
 reg dummy_d_252;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine7_cmd_payload_is_read <= 1'd0;
+       litedramcore_bankmachine7_cmd_payload_we <= 1'd0;
        case (bankmachine7_state)
                1'd1: begin
+                       if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin
+                               litedramcore_bankmachine7_cmd_payload_we <= 1'd1;
+                       end
                end
                2'd2: begin
                end
@@ -10108,8 +10116,8 @@ always @(*) begin
                                        if (litedramcore_bankmachine7_row_opened) begin
                                                if (litedramcore_bankmachine7_row_hit) begin
                                                        if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin
+                                                               litedramcore_bankmachine7_cmd_payload_we <= 1'd1;
                                                        end else begin
-                                                               litedramcore_bankmachine7_cmd_payload_is_read <= 1'd1;
                                                        end
                                                end else begin
                                                end
@@ -10128,15 +10136,22 @@ end
 reg dummy_d_253;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine7_cmd_payload_is_write <= 1'd0;
+       litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd0;
        case (bankmachine7_state)
                1'd1: begin
+                       if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin
+                               litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1;
+                       end
                end
                2'd2: begin
                end
                2'd3: begin
+                       if (litedramcore_bankmachine7_trccon_ready) begin
+                               litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1;
+                       end
                end
                3'd4: begin
+                       litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd1;
                end
                3'd5: begin
                end
@@ -10147,21 +10162,6 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
-                       if (litedramcore_bankmachine7_refresh_req) begin
-                       end else begin
-                               if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
-                                       if (litedramcore_bankmachine7_row_opened) begin
-                                               if (litedramcore_bankmachine7_row_hit) begin
-                                                       if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin
-                                                               litedramcore_bankmachine7_cmd_payload_is_write <= 1'd1;
-                                                       end else begin
-                                                       end
-                                               end else begin
-                                               end
-                                       end else begin
-                                       end
-                               end
-                       end
                end
        endcase
 // synthesis translate_off
@@ -10173,7 +10173,7 @@ end
 reg dummy_d_254;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_bankmachine7_req_wdata_ready <= 1'd0;
+       litedramcore_bankmachine7_cmd_payload_is_read <= 1'd0;
        case (bankmachine7_state)
                1'd1: begin
                end
@@ -10198,8 +10198,8 @@ always @(*) begin
                                        if (litedramcore_bankmachine7_row_opened) begin
                                                if (litedramcore_bankmachine7_row_hit) begin
                                                        if (litedramcore_bankmachine7_cmd_buffer_source_payload_we) begin
-                                                               litedramcore_bankmachine7_req_wdata_ready <= litedramcore_bankmachine7_cmd_ready;
                                                        end else begin
+                                                               litedramcore_bankmachine7_cmd_payload_is_read <= 1'd1;
                                                        end
                                                end else begin
                                                end
@@ -10581,10 +10581,10 @@ end
 reg dummy_d_272;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_steerer_sel3 <= 2'd0;
+       litedramcore_steerer_sel2 <= 2'd0;
        case (multiplexer_state)
                1'd1: begin
-                       litedramcore_steerer_sel3 <= 2'd2;
+                       litedramcore_steerer_sel2 <= 1'd1;
                end
                2'd2: begin
                end
@@ -10605,7 +10605,7 @@ always @(*) begin
                4'd10: begin
                end
                default: begin
-                       litedramcore_steerer_sel3 <= 1'd0;
+                       litedramcore_steerer_sel2 <= 2'd2;
                end
        endcase
 // synthesis translate_off
@@ -10617,9 +10617,13 @@ end
 reg dummy_d_273;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_en0 <= 1'd0;
+       litedramcore_choose_cmd_want_activates <= 1'd0;
        case (multiplexer_state)
                1'd1: begin
+                       if (1'd0) begin
+                       end else begin
+                               litedramcore_choose_cmd_want_activates <= litedramcore_ras_allowed;
+                       end
                end
                2'd2: begin
                end
@@ -10640,7 +10644,10 @@ always @(*) begin
                4'd10: begin
                end
                default: begin
-                       litedramcore_en0 <= 1'd1;
+                       if (1'd0) begin
+                       end else begin
+                               litedramcore_choose_cmd_want_activates <= litedramcore_ras_allowed;
+                       end
                end
        endcase
 // synthesis translate_off
@@ -10652,12 +10659,12 @@ end
 reg dummy_d_274;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_cmd_ready <= 1'd0;
+       litedramcore_steerer_sel3 <= 2'd0;
        case (multiplexer_state)
                1'd1: begin
+                       litedramcore_steerer_sel3 <= 2'd2;
                end
                2'd2: begin
-                       litedramcore_cmd_ready <= 1'd1;
                end
                2'd3: begin
                end
@@ -10676,6 +10683,7 @@ always @(*) begin
                4'd10: begin
                end
                default: begin
+                       litedramcore_steerer_sel3 <= 1'd0;
                end
        endcase
 // synthesis translate_off
@@ -10687,13 +10695,9 @@ end
 reg dummy_d_275;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_choose_cmd_cmd_ready <= 1'd0;
+       litedramcore_en0 <= 1'd0;
        case (multiplexer_state)
                1'd1: begin
-                       if (1'd0) begin
-                       end else begin
-                               litedramcore_choose_cmd_cmd_ready <= ((~((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))) | litedramcore_ras_allowed);
-                       end
                end
                2'd2: begin
                end
@@ -10714,10 +10718,7 @@ always @(*) begin
                4'd10: begin
                end
                default: begin
-                       if (1'd0) begin
-                       end else begin
-                               litedramcore_choose_cmd_cmd_ready <= ((~((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))) | litedramcore_ras_allowed);
-                       end
+                       litedramcore_en0 <= 1'd1;
                end
        endcase
 // synthesis translate_off
@@ -10729,11 +10730,12 @@ end
 reg dummy_d_276;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_choose_req_want_reads <= 1'd0;
+       litedramcore_cmd_ready <= 1'd0;
        case (multiplexer_state)
                1'd1: begin
                end
                2'd2: begin
+                       litedramcore_cmd_ready <= 1'd1;
                end
                2'd3: begin
                end
@@ -10752,7 +10754,6 @@ always @(*) begin
                4'd10: begin
                end
                default: begin
-                       litedramcore_choose_req_want_reads <= 1'd1;
                end
        endcase
 // synthesis translate_off
@@ -10764,10 +10765,13 @@ end
 reg dummy_d_277;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_choose_req_want_writes <= 1'd0;
+       litedramcore_choose_cmd_cmd_ready <= 1'd0;
        case (multiplexer_state)
                1'd1: begin
-                       litedramcore_choose_req_want_writes <= 1'd1;
+                       if (1'd0) begin
+                       end else begin
+                               litedramcore_choose_cmd_cmd_ready <= ((~((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))) | litedramcore_ras_allowed);
+                       end
                end
                2'd2: begin
                end
@@ -10788,6 +10792,10 @@ always @(*) begin
                4'd10: begin
                end
                default: begin
+                       if (1'd0) begin
+                       end else begin
+                               litedramcore_choose_cmd_cmd_ready <= ((~((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))) | litedramcore_ras_allowed);
+                       end
                end
        endcase
 // synthesis translate_off
@@ -10799,14 +10807,9 @@ end
 reg dummy_d_278;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_choose_req_cmd_ready <= 1'd0;
+       litedramcore_choose_req_want_reads <= 1'd0;
        case (multiplexer_state)
                1'd1: begin
-                       if (1'd0) begin
-                               litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed));
-                       end else begin
-                               litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed;
-                       end
                end
                2'd2: begin
                end
@@ -10827,11 +10830,7 @@ always @(*) begin
                4'd10: begin
                end
                default: begin
-                       if (1'd0) begin
-                               litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed));
-                       end else begin
-                               litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed;
-                       end
+                       litedramcore_choose_req_want_reads <= 1'd1;
                end
        endcase
 // synthesis translate_off
@@ -10843,10 +10842,10 @@ end
 reg dummy_d_279;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_en1 <= 1'd0;
+       litedramcore_choose_req_want_writes <= 1'd0;
        case (multiplexer_state)
                1'd1: begin
-                       litedramcore_en1 <= 1'd1;
+                       litedramcore_choose_req_want_writes <= 1'd1;
                end
                2'd2: begin
                end
@@ -10878,13 +10877,16 @@ end
 reg dummy_d_280;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_steerer_sel0 <= 2'd0;
+       litedramcore_choose_req_cmd_ready <= 1'd0;
        case (multiplexer_state)
                1'd1: begin
-                       litedramcore_steerer_sel0 <= 1'd0;
+                       if (1'd0) begin
+                               litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed));
+                       end else begin
+                               litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed;
+                       end
                end
                2'd2: begin
-                       litedramcore_steerer_sel0 <= 2'd3;
                end
                2'd3: begin
                end
@@ -10903,7 +10905,11 @@ always @(*) begin
                4'd10: begin
                end
                default: begin
-                       litedramcore_steerer_sel0 <= 1'd0;
+                       if (1'd0) begin
+                               litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed));
+                       end else begin
+                               litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed;
+                       end
                end
        endcase
 // synthesis translate_off
@@ -10915,10 +10921,10 @@ end
 reg dummy_d_281;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_steerer_sel1 <= 2'd0;
+       litedramcore_en1 <= 1'd0;
        case (multiplexer_state)
                1'd1: begin
-                       litedramcore_steerer_sel1 <= 1'd0;
+                       litedramcore_en1 <= 1'd1;
                end
                2'd2: begin
                end
@@ -10939,7 +10945,6 @@ always @(*) begin
                4'd10: begin
                end
                default: begin
-                       litedramcore_steerer_sel1 <= 1'd1;
                end
        endcase
 // synthesis translate_off
@@ -10951,12 +10956,13 @@ end
 reg dummy_d_282;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_steerer_sel2 <= 2'd0;
+       litedramcore_steerer_sel0 <= 2'd0;
        case (multiplexer_state)
                1'd1: begin
-                       litedramcore_steerer_sel2 <= 1'd1;
+                       litedramcore_steerer_sel0 <= 1'd0;
                end
                2'd2: begin
+                       litedramcore_steerer_sel0 <= 2'd3;
                end
                2'd3: begin
                end
@@ -10975,7 +10981,7 @@ always @(*) begin
                4'd10: begin
                end
                default: begin
-                       litedramcore_steerer_sel2 <= 2'd2;
+                       litedramcore_steerer_sel0 <= 1'd0;
                end
        endcase
 // synthesis translate_off
@@ -10987,13 +10993,10 @@ end
 reg dummy_d_283;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_choose_cmd_want_activates <= 1'd0;
+       litedramcore_steerer_sel1 <= 2'd0;
        case (multiplexer_state)
                1'd1: begin
-                       if (1'd0) begin
-                       end else begin
-                               litedramcore_choose_cmd_want_activates <= litedramcore_ras_allowed;
-                       end
+                       litedramcore_steerer_sel1 <= 1'd0;
                end
                2'd2: begin
                end
@@ -11014,10 +11017,7 @@ always @(*) begin
                4'd10: begin
                end
                default: begin
-                       if (1'd0) begin
-                       end else begin
-                               litedramcore_choose_cmd_want_activates <= litedramcore_ras_allowed;
-                       end
+                       litedramcore_steerer_sel1 <= 1'd1;
                end
        endcase
 // synthesis translate_off
@@ -11072,13 +11072,13 @@ assign user_port_rdata_valid = new_master_rdata_valid8;
 reg dummy_d_284;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_interface_wdata_we <= 16'd0;
+       litedramcore_interface_wdata <= 128'd0;
        case ({new_master_wdata_ready2})
                1'd1: begin
-                       litedramcore_interface_wdata_we <= user_port_wdata_payload_we;
+                       litedramcore_interface_wdata <= user_port_wdata_payload_data;
                end
                default: begin
-                       litedramcore_interface_wdata_we <= 1'd0;
+                       litedramcore_interface_wdata <= 1'd0;
                end
        endcase
 // synthesis translate_off
@@ -11090,13 +11090,13 @@ end
 reg dummy_d_285;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_interface_wdata <= 128'd0;
+       litedramcore_interface_wdata_we <= 16'd0;
        case ({new_master_wdata_ready2})
                1'd1: begin
-                       litedramcore_interface_wdata <= user_port_wdata_payload_data;
+                       litedramcore_interface_wdata_we <= user_port_wdata_payload_we;
                end
                default: begin
-                       litedramcore_interface_wdata <= 1'd0;
+                       litedramcore_interface_wdata_we <= 1'd0;
                end
        endcase
 // synthesis translate_off
@@ -11123,164 +11123,125 @@ assign litedramcore_wishbone_we = wb_bus_we;
 assign litedramcore_wishbone_cti = wb_bus_cti;
 assign litedramcore_wishbone_bte = wb_bus_bte;
 assign wb_bus_err = litedramcore_wishbone_err;
-
-// synthesis translate_off
-reg dummy_d_286;
-// synthesis translate_on
-always @(*) begin
-       csrbank0_sel <= 1'd0;
-       csrbank0_sel <= (interface0_bank_bus_adr[13:9] == 2'd2);
-       if (interface0_bank_bus_adr[0]) begin
-               csrbank0_sel <= 1'd0;
-       end
-// synthesis translate_off
-       dummy_d_286 = dummy_s;
-// synthesis translate_on
-end
+assign csrbank0_sel = (interface0_bank_bus_adr[13:9] == 2'd2);
 assign csrbank0_init_done0_r = interface0_bank_bus_dat_w[0];
-assign csrbank0_init_done0_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[1] == 1'd0));
-assign csrbank0_init_done0_we = ((csrbank0_sel & (~interface0_bank_bus_we)) & (interface0_bank_bus_adr[1] == 1'd0));
+assign csrbank0_init_done0_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[0] == 1'd0));
+assign csrbank0_init_done0_we = ((csrbank0_sel & (~interface0_bank_bus_we)) & (interface0_bank_bus_adr[0] == 1'd0));
 assign csrbank0_init_error0_r = interface0_bank_bus_dat_w[0];
-assign csrbank0_init_error0_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[1] == 1'd1));
-assign csrbank0_init_error0_we = ((csrbank0_sel & (~interface0_bank_bus_we)) & (interface0_bank_bus_adr[1] == 1'd1));
+assign csrbank0_init_error0_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[0] == 1'd1));
+assign csrbank0_init_error0_we = ((csrbank0_sel & (~interface0_bank_bus_we)) & (interface0_bank_bus_adr[0] == 1'd1));
 assign csrbank0_init_done0_w = init_done_storage;
 assign csrbank0_init_error0_w = init_error_storage;
-
-// synthesis translate_off
-reg dummy_d_287;
-// synthesis translate_on
-always @(*) begin
-       csrbank1_sel <= 1'd0;
-       csrbank1_sel <= (interface1_bank_bus_adr[13:9] == 1'd0);
-       if (interface1_bank_bus_adr[0]) begin
-               csrbank1_sel <= 1'd0;
-       end
-// synthesis translate_off
-       dummy_d_287 = dummy_s;
-// synthesis translate_on
-end
+assign csrbank1_sel = (interface1_bank_bus_adr[13:9] == 1'd0);
 assign csrbank1_half_sys8x_taps0_r = interface1_bank_bus_dat_w[4:0];
-assign csrbank1_half_sys8x_taps0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[4:1] == 1'd0));
-assign csrbank1_half_sys8x_taps0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[4:1] == 1'd0));
+assign csrbank1_half_sys8x_taps0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 1'd0));
+assign csrbank1_half_sys8x_taps0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[3:0] == 1'd0));
 assign csrbank1_wlevel_en0_r = interface1_bank_bus_dat_w[0];
-assign csrbank1_wlevel_en0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[4:1] == 1'd1));
-assign csrbank1_wlevel_en0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[4:1] == 1'd1));
+assign csrbank1_wlevel_en0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 1'd1));
+assign csrbank1_wlevel_en0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[3:0] == 1'd1));
 assign a7ddrphy_wlevel_strobe_r = interface1_bank_bus_dat_w[0];
-assign a7ddrphy_wlevel_strobe_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[4:1] == 2'd2));
-assign a7ddrphy_wlevel_strobe_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[4:1] == 2'd2));
+assign a7ddrphy_wlevel_strobe_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 2'd2));
+assign a7ddrphy_wlevel_strobe_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[3:0] == 2'd2));
 assign a7ddrphy_cdly_rst_r = interface1_bank_bus_dat_w[0];
-assign a7ddrphy_cdly_rst_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[4:1] == 2'd3));
-assign a7ddrphy_cdly_rst_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[4:1] == 2'd3));
+assign a7ddrphy_cdly_rst_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 2'd3));
+assign a7ddrphy_cdly_rst_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[3:0] == 2'd3));
 assign a7ddrphy_cdly_inc_r = interface1_bank_bus_dat_w[0];
-assign a7ddrphy_cdly_inc_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[4:1] == 3'd4));
-assign a7ddrphy_cdly_inc_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[4:1] == 3'd4));
+assign a7ddrphy_cdly_inc_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 3'd4));
+assign a7ddrphy_cdly_inc_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[3:0] == 3'd4));
 assign csrbank1_dly_sel0_r = interface1_bank_bus_dat_w[1:0];
-assign csrbank1_dly_sel0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[4:1] == 3'd5));
-assign csrbank1_dly_sel0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[4:1] == 3'd5));
+assign csrbank1_dly_sel0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 3'd5));
+assign csrbank1_dly_sel0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[3:0] == 3'd5));
 assign a7ddrphy_rdly_dq_rst_r = interface1_bank_bus_dat_w[0];
-assign a7ddrphy_rdly_dq_rst_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[4:1] == 3'd6));
-assign a7ddrphy_rdly_dq_rst_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[4:1] == 3'd6));
+assign a7ddrphy_rdly_dq_rst_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 3'd6));
+assign a7ddrphy_rdly_dq_rst_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[3:0] == 3'd6));
 assign a7ddrphy_rdly_dq_inc_r = interface1_bank_bus_dat_w[0];
-assign a7ddrphy_rdly_dq_inc_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[4:1] == 3'd7));
-assign a7ddrphy_rdly_dq_inc_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[4:1] == 3'd7));
+assign a7ddrphy_rdly_dq_inc_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 3'd7));
+assign a7ddrphy_rdly_dq_inc_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[3:0] == 3'd7));
 assign a7ddrphy_rdly_dq_bitslip_rst_r = interface1_bank_bus_dat_w[0];
-assign a7ddrphy_rdly_dq_bitslip_rst_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[4:1] == 4'd8));
-assign a7ddrphy_rdly_dq_bitslip_rst_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[4:1] == 4'd8));
+assign a7ddrphy_rdly_dq_bitslip_rst_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 4'd8));
+assign a7ddrphy_rdly_dq_bitslip_rst_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[3:0] == 4'd8));
 assign a7ddrphy_rdly_dq_bitslip_r = interface1_bank_bus_dat_w[0];
-assign a7ddrphy_rdly_dq_bitslip_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[4:1] == 4'd9));
-assign a7ddrphy_rdly_dq_bitslip_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[4:1] == 4'd9));
+assign a7ddrphy_rdly_dq_bitslip_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 4'd9));
+assign a7ddrphy_rdly_dq_bitslip_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[3:0] == 4'd9));
 assign csrbank1_half_sys8x_taps0_w = a7ddrphy_half_sys8x_taps_storage[4:0];
 assign csrbank1_wlevel_en0_w = a7ddrphy_wlevel_en_storage;
 assign csrbank1_dly_sel0_w = a7ddrphy_dly_sel_storage[1:0];
-
-// synthesis translate_off
-reg dummy_d_288;
-// synthesis translate_on
-always @(*) begin
-       csrbank2_sel <= 1'd0;
-       csrbank2_sel <= (interface2_bank_bus_adr[13:9] == 1'd1);
-       if (interface2_bank_bus_adr[0]) begin
-               csrbank2_sel <= 1'd0;
-       end
-// synthesis translate_off
-       dummy_d_288 = dummy_s;
-// synthesis translate_on
-end
+assign csrbank2_sel = (interface2_bank_bus_adr[13:9] == 1'd1);
 assign csrbank2_dfii_control0_r = interface2_bank_bus_dat_w[3:0];
-assign csrbank2_dfii_control0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 1'd0));
-assign csrbank2_dfii_control0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 1'd0));
+assign csrbank2_dfii_control0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 1'd0));
+assign csrbank2_dfii_control0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 1'd0));
 assign csrbank2_dfii_pi0_command0_r = interface2_bank_bus_dat_w[5:0];
-assign csrbank2_dfii_pi0_command0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 1'd1));
-assign csrbank2_dfii_pi0_command0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 1'd1));
+assign csrbank2_dfii_pi0_command0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 1'd1));
+assign csrbank2_dfii_pi0_command0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 1'd1));
 assign litedramcore_phaseinjector0_command_issue_r = interface2_bank_bus_dat_w[0];
-assign litedramcore_phaseinjector0_command_issue_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 2'd2));
-assign litedramcore_phaseinjector0_command_issue_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 2'd2));
+assign litedramcore_phaseinjector0_command_issue_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 2'd2));
+assign litedramcore_phaseinjector0_command_issue_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 2'd2));
 assign csrbank2_dfii_pi0_address0_r = interface2_bank_bus_dat_w[13:0];
-assign csrbank2_dfii_pi0_address0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 2'd3));
-assign csrbank2_dfii_pi0_address0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 2'd3));
+assign csrbank2_dfii_pi0_address0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 2'd3));
+assign csrbank2_dfii_pi0_address0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 2'd3));
 assign csrbank2_dfii_pi0_baddress0_r = interface2_bank_bus_dat_w[2:0];
-assign csrbank2_dfii_pi0_baddress0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 3'd4));
-assign csrbank2_dfii_pi0_baddress0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 3'd4));
+assign csrbank2_dfii_pi0_baddress0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 3'd4));
+assign csrbank2_dfii_pi0_baddress0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 3'd4));
 assign csrbank2_dfii_pi0_wrdata0_r = interface2_bank_bus_dat_w[31:0];
-assign csrbank2_dfii_pi0_wrdata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 3'd5));
-assign csrbank2_dfii_pi0_wrdata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 3'd5));
+assign csrbank2_dfii_pi0_wrdata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 3'd5));
+assign csrbank2_dfii_pi0_wrdata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 3'd5));
 assign csrbank2_dfii_pi0_rddata_r = interface2_bank_bus_dat_w[31:0];
-assign csrbank2_dfii_pi0_rddata_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 3'd6));
-assign csrbank2_dfii_pi0_rddata_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 3'd6));
+assign csrbank2_dfii_pi0_rddata_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 3'd6));
+assign csrbank2_dfii_pi0_rddata_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 3'd6));
 assign csrbank2_dfii_pi1_command0_r = interface2_bank_bus_dat_w[5:0];
-assign csrbank2_dfii_pi1_command0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 3'd7));
-assign csrbank2_dfii_pi1_command0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 3'd7));
+assign csrbank2_dfii_pi1_command0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 3'd7));
+assign csrbank2_dfii_pi1_command0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 3'd7));
 assign litedramcore_phaseinjector1_command_issue_r = interface2_bank_bus_dat_w[0];
-assign litedramcore_phaseinjector1_command_issue_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 4'd8));
-assign litedramcore_phaseinjector1_command_issue_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 4'd8));
+assign litedramcore_phaseinjector1_command_issue_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 4'd8));
+assign litedramcore_phaseinjector1_command_issue_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 4'd8));
 assign csrbank2_dfii_pi1_address0_r = interface2_bank_bus_dat_w[13:0];
-assign csrbank2_dfii_pi1_address0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 4'd9));
-assign csrbank2_dfii_pi1_address0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 4'd9));
+assign csrbank2_dfii_pi1_address0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 4'd9));
+assign csrbank2_dfii_pi1_address0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 4'd9));
 assign csrbank2_dfii_pi1_baddress0_r = interface2_bank_bus_dat_w[2:0];
-assign csrbank2_dfii_pi1_baddress0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 4'd10));
-assign csrbank2_dfii_pi1_baddress0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 4'd10));
+assign csrbank2_dfii_pi1_baddress0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 4'd10));
+assign csrbank2_dfii_pi1_baddress0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 4'd10));
 assign csrbank2_dfii_pi1_wrdata0_r = interface2_bank_bus_dat_w[31:0];
-assign csrbank2_dfii_pi1_wrdata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 4'd11));
-assign csrbank2_dfii_pi1_wrdata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 4'd11));
+assign csrbank2_dfii_pi1_wrdata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 4'd11));
+assign csrbank2_dfii_pi1_wrdata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 4'd11));
 assign csrbank2_dfii_pi1_rddata_r = interface2_bank_bus_dat_w[31:0];
-assign csrbank2_dfii_pi1_rddata_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 4'd12));
-assign csrbank2_dfii_pi1_rddata_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 4'd12));
+assign csrbank2_dfii_pi1_rddata_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 4'd12));
+assign csrbank2_dfii_pi1_rddata_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 4'd12));
 assign csrbank2_dfii_pi2_command0_r = interface2_bank_bus_dat_w[5:0];
-assign csrbank2_dfii_pi2_command0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 4'd13));
-assign csrbank2_dfii_pi2_command0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 4'd13));
+assign csrbank2_dfii_pi2_command0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 4'd13));
+assign csrbank2_dfii_pi2_command0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 4'd13));
 assign litedramcore_phaseinjector2_command_issue_r = interface2_bank_bus_dat_w[0];
-assign litedramcore_phaseinjector2_command_issue_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 4'd14));
-assign litedramcore_phaseinjector2_command_issue_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 4'd14));
+assign litedramcore_phaseinjector2_command_issue_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 4'd14));
+assign litedramcore_phaseinjector2_command_issue_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 4'd14));
 assign csrbank2_dfii_pi2_address0_r = interface2_bank_bus_dat_w[13:0];
-assign csrbank2_dfii_pi2_address0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 4'd15));
-assign csrbank2_dfii_pi2_address0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 4'd15));
+assign csrbank2_dfii_pi2_address0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 4'd15));
+assign csrbank2_dfii_pi2_address0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 4'd15));
 assign csrbank2_dfii_pi2_baddress0_r = interface2_bank_bus_dat_w[2:0];
-assign csrbank2_dfii_pi2_baddress0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 5'd16));
-assign csrbank2_dfii_pi2_baddress0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 5'd16));
+assign csrbank2_dfii_pi2_baddress0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 5'd16));
+assign csrbank2_dfii_pi2_baddress0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 5'd16));
 assign csrbank2_dfii_pi2_wrdata0_r = interface2_bank_bus_dat_w[31:0];
-assign csrbank2_dfii_pi2_wrdata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 5'd17));
-assign csrbank2_dfii_pi2_wrdata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 5'd17));
+assign csrbank2_dfii_pi2_wrdata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 5'd17));
+assign csrbank2_dfii_pi2_wrdata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 5'd17));
 assign csrbank2_dfii_pi2_rddata_r = interface2_bank_bus_dat_w[31:0];
-assign csrbank2_dfii_pi2_rddata_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 5'd18));
-assign csrbank2_dfii_pi2_rddata_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 5'd18));
+assign csrbank2_dfii_pi2_rddata_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 5'd18));
+assign csrbank2_dfii_pi2_rddata_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 5'd18));
 assign csrbank2_dfii_pi3_command0_r = interface2_bank_bus_dat_w[5:0];
-assign csrbank2_dfii_pi3_command0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 5'd19));
-assign csrbank2_dfii_pi3_command0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 5'd19));
+assign csrbank2_dfii_pi3_command0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 5'd19));
+assign csrbank2_dfii_pi3_command0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 5'd19));
 assign litedramcore_phaseinjector3_command_issue_r = interface2_bank_bus_dat_w[0];
-assign litedramcore_phaseinjector3_command_issue_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 5'd20));
-assign litedramcore_phaseinjector3_command_issue_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 5'd20));
+assign litedramcore_phaseinjector3_command_issue_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 5'd20));
+assign litedramcore_phaseinjector3_command_issue_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 5'd20));
 assign csrbank2_dfii_pi3_address0_r = interface2_bank_bus_dat_w[13:0];
-assign csrbank2_dfii_pi3_address0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 5'd21));
-assign csrbank2_dfii_pi3_address0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 5'd21));
+assign csrbank2_dfii_pi3_address0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 5'd21));
+assign csrbank2_dfii_pi3_address0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 5'd21));
 assign csrbank2_dfii_pi3_baddress0_r = interface2_bank_bus_dat_w[2:0];
-assign csrbank2_dfii_pi3_baddress0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 5'd22));
-assign csrbank2_dfii_pi3_baddress0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 5'd22));
+assign csrbank2_dfii_pi3_baddress0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 5'd22));
+assign csrbank2_dfii_pi3_baddress0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 5'd22));
 assign csrbank2_dfii_pi3_wrdata0_r = interface2_bank_bus_dat_w[31:0];
-assign csrbank2_dfii_pi3_wrdata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 5'd23));
-assign csrbank2_dfii_pi3_wrdata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 5'd23));
+assign csrbank2_dfii_pi3_wrdata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 5'd23));
+assign csrbank2_dfii_pi3_wrdata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 5'd23));
 assign csrbank2_dfii_pi3_rddata_r = interface2_bank_bus_dat_w[31:0];
-assign csrbank2_dfii_pi3_rddata_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 5'd24));
-assign csrbank2_dfii_pi3_rddata_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 5'd24));
+assign csrbank2_dfii_pi3_rddata_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 5'd24));
+assign csrbank2_dfii_pi3_rddata_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 5'd24));
 assign csrbank2_dfii_control0_w = litedramcore_storage[3:0];
 assign csrbank2_dfii_pi0_command0_w = litedramcore_phaseinjector0_command_storage[5:0];
 assign csrbank2_dfii_pi0_address0_w = litedramcore_phaseinjector0_address_storage[13:0];
@@ -11322,7 +11283,7 @@ assign interface2_bank_bus_dat_w = dat_w;
 assign dat_r = ((interface0_bank_bus_dat_r | interface1_bank_bus_dat_r) | interface2_bank_bus_dat_r);
 
 // synthesis translate_off
-reg dummy_d_289;
+reg dummy_d_286;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed0 <= 1'd0;
@@ -11353,12 +11314,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_289 = dummy_s;
+       dummy_d_286 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_290;
+reg dummy_d_287;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed1 <= 14'd0;
@@ -11389,12 +11350,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_290 = dummy_s;
+       dummy_d_287 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_291;
+reg dummy_d_288;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed2 <= 3'd0;
@@ -11425,12 +11386,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_291 = dummy_s;
+       dummy_d_288 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_292;
+reg dummy_d_289;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed3 <= 1'd0;
@@ -11461,12 +11422,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_292 = dummy_s;
+       dummy_d_289 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_293;
+reg dummy_d_290;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed4 <= 1'd0;
@@ -11497,12 +11458,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_293 = dummy_s;
+       dummy_d_290 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_294;
+reg dummy_d_291;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed5 <= 1'd0;
@@ -11533,12 +11494,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_294 = dummy_s;
+       dummy_d_291 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_295;
+reg dummy_d_292;
 // synthesis translate_on
 always @(*) begin
        t_array_muxed0 <= 1'd0;
@@ -11569,12 +11530,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_295 = dummy_s;
+       dummy_d_292 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_296;
+reg dummy_d_293;
 // synthesis translate_on
 always @(*) begin
        t_array_muxed1 <= 1'd0;
@@ -11605,12 +11566,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_296 = dummy_s;
+       dummy_d_293 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_297;
+reg dummy_d_294;
 // synthesis translate_on
 always @(*) begin
        t_array_muxed2 <= 1'd0;
@@ -11641,12 +11602,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_297 = dummy_s;
+       dummy_d_294 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_298;
+reg dummy_d_295;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed6 <= 1'd0;
@@ -11677,12 +11638,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_298 = dummy_s;
+       dummy_d_295 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_299;
+reg dummy_d_296;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed7 <= 14'd0;
@@ -11713,12 +11674,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_299 = dummy_s;
+       dummy_d_296 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_300;
+reg dummy_d_297;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed8 <= 3'd0;
@@ -11749,12 +11710,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_300 = dummy_s;
+       dummy_d_297 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_301;
+reg dummy_d_298;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed9 <= 1'd0;
@@ -11785,12 +11746,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_301 = dummy_s;
+       dummy_d_298 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_302;
+reg dummy_d_299;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed10 <= 1'd0;
@@ -11821,12 +11782,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_302 = dummy_s;
+       dummy_d_299 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_303;
+reg dummy_d_300;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed11 <= 1'd0;
@@ -11857,12 +11818,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_303 = dummy_s;
+       dummy_d_300 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_304;
+reg dummy_d_301;
 // synthesis translate_on
 always @(*) begin
        t_array_muxed3 <= 1'd0;
@@ -11893,12 +11854,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_304 = dummy_s;
+       dummy_d_301 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_305;
+reg dummy_d_302;
 // synthesis translate_on
 always @(*) begin
        t_array_muxed4 <= 1'd0;
@@ -11929,12 +11890,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_305 = dummy_s;
+       dummy_d_302 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_306;
+reg dummy_d_303;
 // synthesis translate_on
 always @(*) begin
        t_array_muxed5 <= 1'd0;
@@ -11965,12 +11926,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_306 = dummy_s;
+       dummy_d_303 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_307;
+reg dummy_d_304;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed12 <= 21'd0;
@@ -11980,12 +11941,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_307 = dummy_s;
+       dummy_d_304 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_308;
+reg dummy_d_305;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed13 <= 1'd0;
@@ -11995,12 +11956,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_308 = dummy_s;
+       dummy_d_305 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_309;
+reg dummy_d_306;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed14 <= 1'd0;
@@ -12010,12 +11971,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_309 = dummy_s;
+       dummy_d_306 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_310;
+reg dummy_d_307;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed15 <= 21'd0;
@@ -12025,12 +11986,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_310 = dummy_s;
+       dummy_d_307 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_311;
+reg dummy_d_308;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed16 <= 1'd0;
@@ -12040,12 +12001,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_311 = dummy_s;
+       dummy_d_308 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_312;
+reg dummy_d_309;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed17 <= 1'd0;
@@ -12055,12 +12016,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_312 = dummy_s;
+       dummy_d_309 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_313;
+reg dummy_d_310;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed18 <= 21'd0;
@@ -12070,12 +12031,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_313 = dummy_s;
+       dummy_d_310 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_314;
+reg dummy_d_311;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed19 <= 1'd0;
@@ -12085,12 +12046,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_314 = dummy_s;
+       dummy_d_311 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_315;
+reg dummy_d_312;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed20 <= 1'd0;
@@ -12100,12 +12061,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_315 = dummy_s;
+       dummy_d_312 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_316;
+reg dummy_d_313;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed21 <= 21'd0;
@@ -12115,12 +12076,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_316 = dummy_s;
+       dummy_d_313 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_317;
+reg dummy_d_314;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed22 <= 1'd0;
@@ -12130,12 +12091,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_317 = dummy_s;
+       dummy_d_314 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_318;
+reg dummy_d_315;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed23 <= 1'd0;
@@ -12145,12 +12106,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_318 = dummy_s;
+       dummy_d_315 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_319;
+reg dummy_d_316;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed24 <= 21'd0;
@@ -12160,12 +12121,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_319 = dummy_s;
+       dummy_d_316 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_320;
+reg dummy_d_317;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed25 <= 1'd0;
@@ -12175,12 +12136,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_320 = dummy_s;
+       dummy_d_317 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_321;
+reg dummy_d_318;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed26 <= 1'd0;
@@ -12190,12 +12151,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_321 = dummy_s;
+       dummy_d_318 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_322;
+reg dummy_d_319;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed27 <= 21'd0;
@@ -12205,12 +12166,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_322 = dummy_s;
+       dummy_d_319 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_323;
+reg dummy_d_320;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed28 <= 1'd0;
@@ -12220,12 +12181,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_323 = dummy_s;
+       dummy_d_320 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_324;
+reg dummy_d_321;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed29 <= 1'd0;
@@ -12235,12 +12196,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_324 = dummy_s;
+       dummy_d_321 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_325;
+reg dummy_d_322;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed30 <= 21'd0;
@@ -12250,12 +12211,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_325 = dummy_s;
+       dummy_d_322 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_326;
+reg dummy_d_323;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed31 <= 1'd0;
@@ -12265,12 +12226,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_326 = dummy_s;
+       dummy_d_323 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_327;
+reg dummy_d_324;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed32 <= 1'd0;
@@ -12280,12 +12241,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_327 = dummy_s;
+       dummy_d_324 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_328;
+reg dummy_d_325;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed33 <= 21'd0;
@@ -12295,12 +12256,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_328 = dummy_s;
+       dummy_d_325 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_329;
+reg dummy_d_326;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed34 <= 1'd0;
@@ -12310,12 +12271,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_329 = dummy_s;
+       dummy_d_326 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_330;
+reg dummy_d_327;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed35 <= 1'd0;
@@ -12325,12 +12286,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_330 = dummy_s;
+       dummy_d_327 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_331;
+reg dummy_d_328;
 // synthesis translate_on
 always @(*) begin
        array_muxed0 <= 3'd0;
@@ -12349,12 +12310,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_331 = dummy_s;
+       dummy_d_328 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_332;
+reg dummy_d_329;
 // synthesis translate_on
 always @(*) begin
        array_muxed1 <= 14'd0;
@@ -12373,12 +12334,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_332 = dummy_s;
+       dummy_d_329 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_333;
+reg dummy_d_330;
 // synthesis translate_on
 always @(*) begin
        array_muxed2 <= 1'd0;
@@ -12397,12 +12358,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_333 = dummy_s;
+       dummy_d_330 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_334;
+reg dummy_d_331;
 // synthesis translate_on
 always @(*) begin
        array_muxed3 <= 1'd0;
@@ -12421,12 +12382,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_334 = dummy_s;
+       dummy_d_331 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_335;
+reg dummy_d_332;
 // synthesis translate_on
 always @(*) begin
        array_muxed4 <= 1'd0;
@@ -12445,12 +12406,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_335 = dummy_s;
+       dummy_d_332 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_336;
+reg dummy_d_333;
 // synthesis translate_on
 always @(*) begin
        array_muxed5 <= 1'd0;
@@ -12469,12 +12430,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_336 = dummy_s;
+       dummy_d_333 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_337;
+reg dummy_d_334;
 // synthesis translate_on
 always @(*) begin
        array_muxed6 <= 1'd0;
@@ -12493,12 +12454,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_337 = dummy_s;
+       dummy_d_334 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_338;
+reg dummy_d_335;
 // synthesis translate_on
 always @(*) begin
        array_muxed7 <= 3'd0;
@@ -12517,12 +12478,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_338 = dummy_s;
+       dummy_d_335 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_339;
+reg dummy_d_336;
 // synthesis translate_on
 always @(*) begin
        array_muxed8 <= 14'd0;
@@ -12541,12 +12502,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_339 = dummy_s;
+       dummy_d_336 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_340;
+reg dummy_d_337;
 // synthesis translate_on
 always @(*) begin
        array_muxed9 <= 1'd0;
@@ -12565,12 +12526,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_340 = dummy_s;
+       dummy_d_337 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_341;
+reg dummy_d_338;
 // synthesis translate_on
 always @(*) begin
        array_muxed10 <= 1'd0;
@@ -12589,12 +12550,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_341 = dummy_s;
+       dummy_d_338 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_342;
+reg dummy_d_339;
 // synthesis translate_on
 always @(*) begin
        array_muxed11 <= 1'd0;
@@ -12613,12 +12574,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_342 = dummy_s;
+       dummy_d_339 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_343;
+reg dummy_d_340;
 // synthesis translate_on
 always @(*) begin
        array_muxed12 <= 1'd0;
@@ -12637,12 +12598,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_343 = dummy_s;
+       dummy_d_340 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_344;
+reg dummy_d_341;
 // synthesis translate_on
 always @(*) begin
        array_muxed13 <= 1'd0;
@@ -12661,12 +12622,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_344 = dummy_s;
+       dummy_d_341 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_345;
+reg dummy_d_342;
 // synthesis translate_on
 always @(*) begin
        array_muxed14 <= 3'd0;
@@ -12685,12 +12646,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_345 = dummy_s;
+       dummy_d_342 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_346;
+reg dummy_d_343;
 // synthesis translate_on
 always @(*) begin
        array_muxed15 <= 14'd0;
@@ -12709,12 +12670,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_346 = dummy_s;
+       dummy_d_343 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_347;
+reg dummy_d_344;
 // synthesis translate_on
 always @(*) begin
        array_muxed16 <= 1'd0;
@@ -12733,12 +12694,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_347 = dummy_s;
+       dummy_d_344 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_348;
+reg dummy_d_345;
 // synthesis translate_on
 always @(*) begin
        array_muxed17 <= 1'd0;
@@ -12757,12 +12718,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_348 = dummy_s;
+       dummy_d_345 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_349;
+reg dummy_d_346;
 // synthesis translate_on
 always @(*) begin
        array_muxed18 <= 1'd0;
@@ -12781,12 +12742,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_349 = dummy_s;
+       dummy_d_346 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_350;
+reg dummy_d_347;
 // synthesis translate_on
 always @(*) begin
        array_muxed19 <= 1'd0;
@@ -12805,12 +12766,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_350 = dummy_s;
+       dummy_d_347 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_351;
+reg dummy_d_348;
 // synthesis translate_on
 always @(*) begin
        array_muxed20 <= 1'd0;
@@ -12829,12 +12790,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_351 = dummy_s;
+       dummy_d_348 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_352;
+reg dummy_d_349;
 // synthesis translate_on
 always @(*) begin
        array_muxed21 <= 3'd0;
@@ -12853,12 +12814,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_352 = dummy_s;
+       dummy_d_349 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_353;
+reg dummy_d_350;
 // synthesis translate_on
 always @(*) begin
        array_muxed22 <= 14'd0;
@@ -12877,12 +12838,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_353 = dummy_s;
+       dummy_d_350 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_354;
+reg dummy_d_351;
 // synthesis translate_on
 always @(*) begin
        array_muxed23 <= 1'd0;
@@ -12901,12 +12862,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_354 = dummy_s;
+       dummy_d_351 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_355;
+reg dummy_d_352;
 // synthesis translate_on
 always @(*) begin
        array_muxed24 <= 1'd0;
@@ -12925,12 +12886,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_355 = dummy_s;
+       dummy_d_352 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_356;
+reg dummy_d_353;
 // synthesis translate_on
 always @(*) begin
        array_muxed25 <= 1'd0;
@@ -12949,12 +12910,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_356 = dummy_s;
+       dummy_d_353 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_357;
+reg dummy_d_354;
 // synthesis translate_on
 always @(*) begin
        array_muxed26 <= 1'd0;
@@ -12973,12 +12934,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_357 = dummy_s;
+       dummy_d_354 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_358;
+reg dummy_d_355;
 // synthesis translate_on
 always @(*) begin
        array_muxed27 <= 1'd0;
@@ -12997,7 +12958,7 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_358 = dummy_s;
+       dummy_d_355 = dummy_s;
 // synthesis translate_on
 end
 assign xilinxasyncresetsynchronizerimpl0 = ((~sys_pll_locked) | sys_pll_reset);
@@ -14447,7 +14408,7 @@ always @(posedge sys_clk) begin
        new_master_rdata_valid8 <= new_master_rdata_valid7;
        interface0_bank_bus_dat_r <= 1'd0;
        if (csrbank0_sel) begin
-               case (interface0_bank_bus_adr[1])
+               case (interface0_bank_bus_adr[0])
                        1'd0: begin
                                interface0_bank_bus_dat_r <= csrbank0_init_done0_w;
                        end
@@ -14466,7 +14427,7 @@ always @(posedge sys_clk) begin
        init_error_re <= csrbank0_init_error0_re;
        interface1_bank_bus_dat_r <= 1'd0;
        if (csrbank1_sel) begin
-               case (interface1_bank_bus_adr[4:1])
+               case (interface1_bank_bus_adr[3:0])
                        1'd0: begin
                                interface1_bank_bus_dat_r <= csrbank1_half_sys8x_taps0_w;
                        end
@@ -14513,7 +14474,7 @@ always @(posedge sys_clk) begin
        a7ddrphy_dly_sel_re <= csrbank1_dly_sel0_re;
        interface2_bank_bus_dat_r <= 1'd0;
        if (csrbank2_sel) begin
-               case (interface2_bank_bus_adr[5:1])
+               case (interface2_bank_bus_adr[4:0])
                        1'd0: begin
                                interface2_bank_bus_dat_r <= csrbank2_dfii_control0_w;
                        end
index 2325bba58db253d83730e9efe4f3156347d95789..d20e71020cf613c2cc5a65c09523c6395b716c89 100644 (file)
@@ -510,7 +510,7 @@ a64b5a7d14004a39
 0000000000000000
 0000000000000000
 0000000000000000
-3842a2003c4c0001
+3842a1003c4c0001
 fbc1fff07c0802a6
 f8010010fbe1fff8
 3be10020f821fe91
@@ -519,11 +519,11 @@ f8c101a838800140
 38c101987c651b78
 7fe3fb78f8e101b0
 f92101c0f90101b8
-480017a5f94101c8
+48001735f94101c8
 7c7e1b7860000000
-480012bd7fe3fb78
+4800124d7fe3fb78
 3821017060000000
-48001d647fc3f378
+48001cf47fc3f378
 0100000000000000
 4e80002000000280
 0000000000000000
@@ -531,76 +531,76 @@ f92101c0f90101b8
 4e8000204c00012c
 0000000000000000
 3c4c000100000000
-7c0802a63842a15c
+7c0802a63842a05c
 7d800026fbe1fff8
 91810008f8010010
-480011b1f821ff91
+48001141f821ff91
 3c62ffff60000000
-4bffff3538637be8
+4bffff3538637c78
 548400023880ffff
 7c8026ea7c0004ac
 3fe0c0003c62ffff
-63ff000838637c08
+63ff000838637c98
 3c62ffff4bffff11
-38637c287bff0020
+38637cb87bff0020
 7c0004ac4bffff01
 73e900017fe0feea
 3c62ffff41820010
-4bfffee538637c40
+4bfffee538637cd0
 4d80000073e90002
 3c62ffff41820010
-4bfffecd38637c48
+4bfffecd38637cd8
 4e00000073e90004
 3c62ffff41820010
-4bfffeb538637c50
-3bff7f283fe2ffff
+4bfffeb538637ce0
+3bff7f203fe2ffff
 4bfffea57fe3fb78
 3c80c00041920028
 7884002060840010
 7c8026ea7c0004ac
 7884b2823c62ffff
-4bfffe7d38637c58
+4bfffe7d38637ce8
 3c80c000418e004c
 7884002060840018
 7c8026ea7c0004ac
 788465023c62ffff
-4bfffe5538637c78
+4bfffe5538637d08
 608400303c80c000
 7c0004ac78840020
 3c62ffff7c8026ea
-38637c987884b282
+38637d287884b282
 3d20c0004bfffe31
 7929002061290020
 7d204eea7c0004ac
 3c62ffff3c80000f
-38637cb860844240
+38637d4860844240
 4bfffe057c892392
 4bfffdfd7fe3fb78
 3ca2ffff418e0028
 3c62ffff3c82ffff
-38847ce838a57cd8
-4bfffddd38637cf0
-6000000048000e95
+38847d7838a57d68
+4bfffddd38637d80
+6000000048000e29
 3c62ffff41920020
-4bfffdc538637d20
+4bfffdc538637db0
 8181000838210070
-48001b807d818120
-38637d383c62ffff
+48001b107d818120
+38637dc83c62ffff
 3c80f0004bfffda9
 6084400038a0ffff
 7884002054a50422
-480012553c604000
+480011e53c604000
 3c62ffff60000000
-4bfffd7d38637d58
+4bfffd7d38637de8
 e801001038210070
 ebe1fff881810008
 7d8181207c0803a6
 000000004bfffde4
 0000018003000000
-612908083d20c010
+612908043d20c010
 7c0004ac79290020
 3d40c0107c604f2a
-614a081039200001
+614a080839200001
 7c0004ac794a0020
 4e8000207d20572a
 0000000000000000
@@ -610,29 +610,29 @@ ebe1fff881810008
 4e8000204200fffc
 0000000000000000
 3d20c01000000000
-6129002839400001
+6129001439400001
 792900207d431830
 7c604f2a7c0004ac
-610800303d00c010
+610800183d00c010
 7c0004ac79080020
 394000007d40472a
 7d404f2a7c0004ac
 000000004e800020
 0000000000000000
 394000013d20c010
-7d43183061290028
+7d43183061290014
 7c0004ac79290020
 3d00c0107c604f2a
-7908002061080038
+790800206108001c
 7d40472a7c0004ac
 7c0004ac39400000
 4e8000207d404f2a
 0000000000000000
 3d40c01000000000
-614a086839200025
+614a083439200025
 7c0004ac794a0020
 3d40c0107d20572a
-614a087039200001
+614a083839200001
 7c0004ac794a0020
 4e8000207d20572a
 0000000000000000
@@ -653,10 +653,10 @@ ebe1fff881810008
 9864000099240001
 000000004e800020
 0000000000000000
-38429d883c4c0001
-480018c57c0802a6
+38429c883c4c0001
+480018557c0802a6
 7c7e1b78f821ff21
-38637ec03c62ffff
+38637eb83c62ffff
 600000004bfffb71
 390100603ca08020
 3940000460a50003
@@ -670,45 +670,45 @@ ebe1fff881810008
 394affff4200ffe0
 794a002139080004
 3d20c0104082ffc4
-612908183be00000
+6129080c3be00000
 7c0004ac79290020
 3d20c0107fe04f2a
-7929002061290820
+7929002061290810
 7fe04f2a7c0004ac
 4bfffd8d38600009
 4bfffdc13860000f
 7fa4eb783c60c010
-7863002060630828
+7863002060630814
 3c60c0104bfffead
-6063085838810064
+6063082c38810064
 4bfffe9978630020
 388100683c60c010
-7863002060630888
+7863002060630844
 3c60c0104bfffe85
-606308b83881006c
+6063085c3881006c
 4bfffe7178630020
-612908a83d20c010
+612908543d20c010
 7c0004ac79290020
 3d20c0107fe04f2a
-79290020612908b0
+7929002061290858
 7fe04f2a7c0004ac
 392000173d40c010
-794a0020614a0898
+794a0020614a084c
 7d20572a7c0004ac
 392000013d40c010
-794a0020614a08a0
+794a0020614a0850
 7d20572a7c0004ac
-612908783d20c010
+6129083c3d20c010
 7c0004ac79290020
 3d20c0107fe04f2a
-7929002061290880
+7929002061290840
 7fe04f2a7c0004ac
 22de00017fc3f378
 213e00034bfffd0d
 793500203ee2ffff
 7d2907b47ed607b4
 3b0100703be00000
-7f3db2143af77ee8
+7f3db2143af77ee0
 7f5d4a147ebdaa14
 3860000f4bfffd75
 4bfffca93b800000
@@ -749,349 +749,335 @@ ebe1fff881810008
 4bffffcc3b400000
 7fbfe2142f9f0020
 409e006c7fbd0e70
-38637ed03c62ffff
+38637ec83c62ffff
 600000004bfff889
 3be000007fc3f378
 7f9fe8004bfffb8d
 3d40c010419c0070
-614a081839200000
+614a080c39200000
 7c0004ac794a0020
 3d40c0107d20572a
-794a0020614a0820
+794a0020614a0810
 7d20572a7c0004ac
 4bfffaed3860000b
 4bfffb213860000f
-480015bc382100e0
+4800154c382100e0
 3c62ffff7cbfe050
 7ca501947ca50e70
-38637ed87fa4eb78
+38637ed07fa4eb78
 4bfff80d7ca507b4
 4bffff8460000000
 3bff00017fc3f378
 7fff07b44bfffb59
 000000004bffff7c
 00000b8001000000
-384299d83c4c0001
+384298d83c4c0001
 3d40c0107c0802a6
 3920000e614a0800
 f8010010794a0020
 7c0004acf821ffa1
 3c62ffff7d20572a
-4bfff7ad38637f98
+4bfff7ad38637f90
 3821006060000000
 7c0803a6e8010010
 000000004e800020
 0000008001000000
-384299803c4c0001
+384298803c4c0001
 3d40c0107c0802a6
 39200001614a0800
 f8010010794a0020
 7c0004acf821ffa1
 3c62ffff7d20572a
-4bfff75538637f08
+4bfff75538637f00
 3821006060000000
 7c0803a6e8010010
 000000004e800020
 0000008001000000
-384299283c4c0001
-3c62ffff7c0802a6
-4800147538637d70
-4bfff715f821ff71
-3900008060000000
-7d0903a63d40aaaa
+384298283c4c0001
+390000807c0802a6
+3d40aaaa7d0903a6
 614aaaaa3d204000
+f821ff8148001401
 3929000491490000
-3f60aaaa4200fff8
-600000004bfff75d
-3f82ffff3fa0aaaa
-3bc00000637baaaa
-63bdaaaa3be00000
-7b7b00203b9c7d80
-7fc407b43d3e1000
-80a9000079291764
-419e001c7f85e800
-7f66db783bff0001
-7fff07b47f83e378
-600000004bfff699
-2bbe00803bde0001
-39000080409effc8
-7d0903a63d405555
-614a55553d204000
-3929000491490000
-4bfff6d94200fff8
-3fa0555560000000
-3f6055553f82ffff
-63bd55553bc00000
-637b55553b9c7da0
-7fc407b43d3e1000
-80a9000079291764
-419e001c7f85e800
-7f66db783bff0001
-7fff07b47f83e378
-600000004bfff619
-2bbe00803bde0001
-2fbf0000409effc8
+4bfff7714200fff8
+3940008060000000
+7d4903a63d00aaaa
+3be000003d204000
+814900006108aaaa
+419e000c7f8a4000
+7fff07b43bff0001
+4200ffe839290004
+3d40555539000080
+3d2040007d0903a6
+91490000614a5555
+4200fff839290004
+600000004bfff715
+3d00555539400080
+3d2040007d4903a6
+8149000061085555
+419e000c7f8a4000
+7fff07b43bff0001
+4200ffe839290004
+419e001c2fbf0000
+38a001003c62ffff
+38637e007fe4fb78
+600000004bfff651
+3ce080203d000008
+60e700037d0903a6
+392000013d404000
+7928f84278e70020
+7d2900d0792907e0
+7d293838394a0004
+912afffc7d294278
+4bfff6814200ffe4
+3d00000860000000
+7d0903a63ce08020
+3d40400060e70003
+392000013ba00000
+7928f84278e70020
+7d2900d0792907e0
+7d2942787d293838
+7f884840810a0000
+3bbd0001419e000c
+394a00047fbd07b4
+2fbd00004200ffd4
 3c62ffff419e001c
-7fe4fb7838a00100
-4bfff5ed38637dc0
-3c62ffff60000000
-38637de83fc2ffff
-4bfff5d53bde7e00
-3d40000860000000
-7d4903a63d204000
-3948000139000001
-7948002039290004
-4200fff09149fffc
-600000004bfff61d
-392000003d400008
-38c000017d4903a6
-394600013d091000
-7d2407b479081764
-80a8000079460020
-419e001c7f8a2840
-4bfff56d7fc3f378
-3860271060000000
-4bffff904bfff84d
-4200ffc439290001
-38637e203c62ffff
-600000004bfff549
-3940000039202000
-3d2a10007d2903a6
-3929000279480020
-79291764394a0001
-4200ffe891090000
-600000004bfff58d
-3ba000003f82ffff
-3b9c7e383bc00000
-7fa607b43d3d1000
+7fa4eb783ca00008
+4bfff59d38637e28
+3920200060000000
+7d2903a639400000
+794800203d2a1000
+394a000139290002
+9109000079291764
+4bfff5e14200ffe8
+3920200060000000
+7d2903a639400000
+3d2a10003bc00000
 8129000879291764
-7f8930005529043e
-419e001c7d2507b4
-7cc433783bde0001
-7fde07b47f83e378
-600000004bfff4d1
-2bbd20003bbd0001
-2fbe0000409effc0
+7f8950005529043e
+3bde0001419e000c
+394a00017fde07b4
+2fbe00004200ffdc
 3c62ffff419e001c
 7fc4f37838a02000
-4bfff4a538637e58
-7ffff21460000000
-2f9f000038600000
-3c62ffff409e00a8
-4bfff48538637e80
-7c9602a660000000
-788400203d400008
-392000007d4903a6
-792800203d491000
-39290001794a1764
-4200ffec910a0000
+4bfff51538637e50
+7fffea1460000000
+7ffff21438600000
+409e00a42f9f0000
+38637e783c62ffff
+600000004bfff4f1
+3d4000047c9602a6
+7d4903a678840020
+3d49080039200000
+f92a0000794a1f24
+4200fff039290001
 7c9f20507ff602a6
 7fff23963fe06400
-600000004bfff4b5
+600000004bfff525
 7d3602a67bff0020
-792900203d000008
+792900203d000004
 3d4040007d0903a6
-394a0004810a0000
+394a0008e90a0000
 7cb602a64200fff8
 3ca064007d254850
 3c62ffff7ca54b96
-38637e907fe4fb78
-4bfff3f578a50060
+38637e887fe4fb78
+4bfff46578a50060
 3860000160000000
-4800118c38210090
+4800119438210080
 0100000000000000
-3c4c000100000580
-7c0802a6384295cc
-480010e938600000
-3fe0c010f821fec1
-63ff00283bc00001
-4bfff6c17bff0020
-7fc0ff2a7c0004ac
-639c00403f80c010
-7c0004ac7b9c0020
-3ba000007fc0e72a
+3c4c000100000380
+7c0802a63842953c
+f821fec1480010ed
+3bc000013fe0c010
+7bff002063ff0014
+386000004bfffc49
+7c0004ac4bfff72d
+3f80c0107fc0ff2a
+7b9c0020639c0020
+7fc0e72a7c0004ac
+7c0004ac3ba00000
+386000017fa0ff2a
+392000024bfff6fd
+7d20ff2a7c0004ac
+7fc0e72a7c0004ac
 7fa0ff2a7c0004ac
-4bfff69138600001
-7c0004ac39200002
-7c0004ac7d20ff2a
-7c0004ac7fc0e72a
-3c62ffff7fa0ff2a
-38637f483b810070
-4bfff34d3e02ffff
-3d22ffff60000000
-3de2fffffb810080
-3dc2ffff39297f58
-3ae100633e42ffff
-3ac10061f9210098
-3a107ee83be00000
-39ce7f7039ef7f68
-392100643a527f28
-3e80c0103b200001
-f92100883ea0c010
-7f39f83039210068
-62b5082062940818
-3bc000007b330020
-3b000000f9210090
-7a9400203ba00000
-480000547ab50020
-2f9d000f7fbeeb78
-3d20c010419e029c
-7929002061290028
-7e604f2a7c0004ac
-394000013d00c010
-7908002061080048
-7d40472a7c0004ac
-7c0004ac39400000
-3bbd00017d404f2a
-7fbd07b47f78db78
-3900000439410060
-7d5a53783920002a
-38c0000038e00004
-3ca080207ce903a6
-60a500037927f842
-7d2900d0792907e0
-7d29283878a50020
-78e900207d273a78
-38c600017cea31ae
-3908ffff4200ffd4
-79080021394a0004
-3b6000004082ffb8
-7f60a72a7c0004ac
-7f60af2a7c0004ac
-4bfff4b538600009
-4bfff4e93860000f
-7f44d3783c60c010
-7863002060630828
-e88100884bfff5d5
-606308583c60c010
-4bfff5c178630020
-3c60c010e8810090
-7863002060630888
-3c60c0104bfff5ad
-606308b83881006c
-4bfff59978630020
-612908a83d20c010
+3b8100703c62ffff
+3e02ffff38637f40
+600000004bfff3b9
+fb8100803d22ffff
+39297f503de2ffff
+3e42ffff3dc2ffff
+f92100983ae10063
+3be000003ac10061
+39ef7f603a107ee0
+3a527f2039ce7f68
+3b20000139210064
+3ea0c0103e80c010
+39210068f9210088
+6294080c7f39f830
+7b33002062b50810
+f92100903bc00000
+3ba000003b000000
+7ab500207a940020
+7fbeeb7848000054
+419e029c2f9d000f
+612900143d20c010
 7c0004ac79290020
-3d20c0107f604f2a
-79290020612908b0
+3d00c0107e604f2a
+6108002439400001
+7c0004ac79080020
+394000007d40472a
+7d404f2a7c0004ac
+7f78db783bbd0001
+394100607fbd07b4
+3920002a39000004
+38e000047d5a5378
+7ce903a638c00000
+7927f8423ca08020
+792907e060a50003
+78a500207d2900d0
+7d273a787d292838
+7cea31ae78e90020
+4200ffd438c60001
+394a00043908ffff
+4082ffb879080021
+7c0004ac3b600000
+7c0004ac7f60a72a
+386000097f60af2a
+3860000f4bfff521
+3c60c0104bfff555
+606308147f44d378
+4bfff64178630020
+3c60c010e8810088
+786300206063082c
+e88100904bfff62d
+606308443c60c010
+4bfff61978630020
+3881006c3c60c010
+786300206063085c
+3d20c0104bfff605
+7929002061290854
 7f604f2a7c0004ac
-392000173d40c010
-794a0020614a0898
-7d20572a7c0004ac
-392000013d40c010
-794a0020614a08a0
-7d20572a7c0004ac
-612908783d20c010
+612908583d20c010
 7c0004ac79290020
-3d20c0107f604f2a
-7929002061290880
+3d40c0107f604f2a
+614a084c39200017
+7c0004ac794a0020
+3d40c0107d20572a
+614a085039200001
+7c0004ac794a0020
+3d20c0107d20572a
+792900206129083c
 7f604f2a7c0004ac
-7fa5eb78e8610098
-3b4000207fe4fb78
-4bfff1153b600000
-7fe3fb7860000000
-4bfff4b14bfff41d
-3a2000013860000f
-394000004bfff3e5
-e881008079480fa4
-7c70402af94100a0
-e94100a04bfff519
-7d1650ae88fc0001
-409e00a07f883800
-88fc00037d1750ae
-409e00907f883800
-2baa0010394a0004
-7e248b78409effc0
-4bfff0a57de37b78
-3b5affff60000000
-4bfff3f57fe3fb78
-7f7b8a147b5a0021
-4082ff807f7b07b4
-4bfff07d7dc37378
-3920000060000000
-7d20a72a7c0004ac
-7d20af2a7c0004ac
-4bfff30d3860000b
-4bfff3413860000f
-4bfff4c57fe3fb78
-4bfff0457e439378
-7f98d80060000000
-7f1bc378419cfd70
-3a2000004bfffd6c
-3c62ffff4bffff70
-7fe4fb787fc5f378
-4bfff01538637f78
-3d20c01060000000
-7929002061290028
-7f204f2a7c0004ac
-394000013d00c010
-7908002061080040
-7d40472a7c0004ac
-7c0004ac39400000
-7bde00207d404f2a
-38de00013d00c010
-7cc903a661080048
-7908002039400001
-4200003438e00000
-3af7ffff7fe3fb78
-7e4393784bfff421
-4bffef9d3b9cffff
-2f9f000160000000
-419e00283ad6ffff
-4bfffc783be00001
-7e604f2a7c0004ac
-7d40472a7c0004ac
-7ce04f2a7c0004ac
-382101404bffffb4
-48000cd038600001
-0100000000000000
-3c4c000100001280
-7c0802a638429144
-38637f303c62ffff
-f821ff7148000c8d
-3be000003f60c010
-7b7b0020637b1000
-600000004bffef21
-7fe0df2a7c0004ac
-635a10083f40c010
-7c0004ac7b5a0020
-3fa0c0107fe0d72a
-63bd08184bfff721
+612908403d20c010
+7c0004ac79290020
+e86100987f604f2a
+7fe4fb787fa5eb78
+3b6000003b400020
+600000004bfff181
+4bfff4897fe3fb78
+3860000f4bfff51d
+4bfff4513a200001
+79480fa439400000
+f94100a0e8810080
+4bfff5857c70402a
+88fc0001e94100a0
+7f8838007d1650ae
+7d1750ae409e00a0
+7f88380088fc0003
+394a0004409e0090
+409effc02baa0010
+7de37b787e248b78
+600000004bfff111
+7fe3fb783b5affff
+7b5a00214bfff461
+7f7b07b47f7b8a14
+7dc373784082ff80
+600000004bfff0e9
+7c0004ac39200000
+7c0004ac7d20a72a
+3860000b7d20af2a
+3860000f4bfff379
+7fe3fb784bfff3ad
+7e4393784bfff531
+600000004bfff0b1
+419cfd707f98d800
+4bfffd6c7f1bc378
+4bffff703a200000
+7fc5f3783c62ffff
+38637f707fe4fb78
+600000004bfff081
+612900143d20c010
+7c0004ac79290020
+3d00c0107f204f2a
+6108002039400001
+7c0004ac79080020
+394000007d40472a
+7d404f2a7c0004ac
+3d00c0107bde0020
+6108002438de0001
+394000017cc903a6
+38e0000079080020
+7fe3fb7842000034
+4bfff48d3af7ffff
+3b9cffff7e439378
+600000004bfff009
+3ad6ffff2f9f0001
+3be00001419e0028
+7c0004ac4bfffc78
+7c0004ac7e604f2a
+7c0004ac7d40472a
+4bffffb47ce04f2a
+3860000138210140
+0000000048000ccc
+0000128001000000
+384290b03c4c0001
+3c62ffff7c0802a6
+48000c8938637f28
+3f60c010f821ff71
+637b10003be00000
+4bffef8d7b7b0020
+7c0004ac60000000
+3f40c0107fe0df2a
+7b5a0020635a1004
+7fe0d72a7c0004ac
+63bd080c3fa0c010
 7c0004ac7bbd0020
 3fc0c0107fe0ef2a
-7bde002063de0820
+7bde002063de0810
 7fe0f72a7c0004ac
 3920000c3f80c010
 7b9c0020639c0800
 7d20e72a7c0004ac
 6063c35038600000
-7c0004ac4bfff19d
+7c0004ac4bfff20d
 7c0004ac7fe0ef2a
 3920000e7fe0f72a
 7d20e72a7c0004ac
-4bfff17938602710
+4bfff1e938602710
 7c0004ac39200200
 392000027d20ef2a
 7d20f72a7c0004ac
-4bfff11d3860000f
+4bfff18d3860000f
 7fe0ef2a7c0004ac
 7c0004ac39200003
 3860000f7d20f72a
-392000064bfff101
+392000064bfff171
 7d20ef2a7c0004ac
 7c0004ac3b800001
 3860000f7f80f72a
-392009204bfff0e1
+392009204bfff151
 7d20ef2a7c0004ac
 7fe0f72a7c0004ac
-4bfff0c53860000f
-4bfff0f9386000c8
+4bfff1353860000f
+4bfff169386000c8
 7c0004ac39200400
 7c0004ac7d20ef2a
 386000037fe0f72a
-386000c84bfff0a1
-4bfffa194bfff0d5
-4bfff6b54bfff661
+386000c84bfff111
+4bfffa194bfff145
+4bfff7254bfff6d1
 4082001c2c230000
 7f80df2a7c0004ac
 7f80d72a7c0004ac
@@ -1100,27 +1086,27 @@ f821ff7148000c8d
 4bffffec38600001
 0100000000000000
 3c4c000100000680
-3d20c00038428f94
+3d20c00038428f04
 6129200060000000
-f922801079290020
+f922800879290020
 612900203d20c000
 7c0004ac79290020
 3d40001c7d204eea
 7d295392614a2000
-394a0018e9428010
+394a0018e9428008
 7c0004ac3929ffff
 4e8000207d2057ea
 0000000000000000
 3c4c000100000000
-6000000038428f34
-39290010e9228010
+6000000038428ea4
+39290010e9228008
 7d204eea7c0004ac
 4082ffe871290008
-e94280105469063e
+e94280085469063e
 7d2057ea7c0004ac
 000000004e800020
 0000000000000000
-38428ef03c4c0001
+38428e603c4c0001
 fbc1fff07c0802a6
 3bc3fffffbe1fff8
 f821ffd1f8010010
@@ -1194,7 +1180,7 @@ f924000039290002
 7c6307b43863ffe0
 000000004e800020
 0000000000000000
-38428ca03c4c0001
+38428c103c4c0001
 3d2037367c0802a6
 612935347d908026
 65293332792907c6
@@ -1228,7 +1214,7 @@ fbfd00007fe9fa14
 4bfffff07d29f392
 0300000000000000
 3c4c000100000580
-7c0802a638428b94
+7c0802a638428b04
 f821ffb1480006e9
 7c7f1b78eb630000
 7cbd2b787c9c2378
@@ -1244,7 +1230,7 @@ f821ffb1480006e9
 4bffffb8f93f0000
 0100000000000000
 3c4c000100000580
-7c0802a638428b14
+7c0802a638428a84
 f821ffa148000661
 7c9b23787c7d1b78
 388000007ca32b78
@@ -1275,7 +1261,7 @@ e95d00009b270000
 f95d0000394a0001
 000000004bffffa8
 0000078001000000
-38428a183c4c0001
+384289883c4c0001
 480005397c0802a6
 7c741b79f821fed1
 38600000f8610060
@@ -1284,7 +1270,7 @@ f95d0000394a0001
 3ac4ffff3e42ffff
 f92100703b410020
 3ae0000060000000
-3a527fc039228008
+3a527fb839228000
 f92100783ba10060
 ebc1006089250000
 419e00102fa90000
@@ -1497,9 +1483,9 @@ e8010010ebc1fff0
 203a4b4c43202020
 7a484d20646c6c25
 000000000000000a
-6131333764343635
+3163616539333236
 0000000000000000
-0033306536316430
+0039326232623162
 4d4152446574694c
 6620746c69756220
 6567694d206d6f72
@@ -1516,35 +1502,16 @@ e8010010ebc1fff0
 20676e69746f6f42
 415244206d6f7266
 0000000a2e2e2e4d
-20747365746d656d
-000a2e2e2e737562
-7830203a7375625b
-7830203a5d783025
-2073762078383025
-000a783830257830
-257830207375625b
-257830203a5d7830
-3020737620783830
-00000a7838302578
 20747365746d654d
 6c69616620737562
 252f6425203a6465
 73726f7272652064
 000000000000000a
-20747365746d656d
-0a2e2e2e61746164
-0000000000000000
-783020617461645b
-7830203a5d783025
-2073762078383025
-000a783830257830
-20747365746d656d
-0a2e2e2e72646461
-0000000000000000
-783020726464615b
-7830203a5d783025
-2073762078383025
-000a783830257830
+20747365746d654d
+6961662061746164
+2f6425203a64656c
+726f727265206425
+0000000000000a73
 20747365746d654d
 6961662072646461
 2f6425203a64656c
@@ -1563,10 +1530,10 @@ e8010010ebc1fff0
 000000000000002d
 30252d2b64323025
 0000000000006432
+00000000c0100818
 00000000c0100830
+00000000c0100848
 00000000c0100860
-00000000c0100890
-00000000c01008c0
 6f6e204d41524453
 207265646e752077
 6572617764726168
index 687067ee11a743ecd39b382fc5b14ae30e938c18..c1ff475a8ffbf6e543fe3fc4621b96a58dacad89 100644 (file)
@@ -1,5 +1,5 @@
 //--------------------------------------------------------------------------------
-// Auto-generated by Migen (0d16e03) & LiteX (564d731a) on 2020-05-31 17:48:52
+// Auto-generated by Migen (b1b2b29) & LiteX (6239eac1) on 2020-06-02 11:27:37
 //--------------------------------------------------------------------------------
 module litedram_core(
        input wire clk,
@@ -1607,7 +1607,7 @@ wire csrbank0_init_error0_re;
 wire csrbank0_init_error0_r;
 wire csrbank0_init_error0_we;
 wire csrbank0_init_error0_w;
-reg csrbank0_sel = 1'd0;
+wire csrbank0_sel;
 wire [13:0] interface1_bank_bus_adr;
 wire interface1_bank_bus_we;
 wire [31:0] interface1_bank_bus_dat_w;
@@ -1624,7 +1624,7 @@ wire csrbank1_dly_sel0_re;
 wire [1:0] csrbank1_dly_sel0_r;
 wire csrbank1_dly_sel0_we;
 wire [1:0] csrbank1_dly_sel0_w;
-reg csrbank1_sel = 1'd0;
+wire csrbank1_sel;
 wire [13:0] interface2_bank_bus_adr;
 wire interface2_bank_bus_we;
 wire [31:0] interface2_bank_bus_dat_w;
@@ -1713,7 +1713,7 @@ wire csrbank2_dfii_pi3_rddata_re;
 wire [31:0] csrbank2_dfii_pi3_rddata_r;
 wire csrbank2_dfii_pi3_rddata_we;
 wire [31:0] csrbank2_dfii_pi3_rddata_w;
-reg csrbank2_sel = 1'd0;
+wire csrbank2_sel;
 wire [13:0] adr;
 wire we;
 wire [31:0] dat_w;
@@ -1857,13 +1857,13 @@ end
 reg dummy_d_1;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_adr <= 14'd0;
+       litedramcore_we <= 1'd0;
        case (state)
                1'd1: begin
                end
                default: begin
                        if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin
-                               litedramcore_adr <= litedramcore_wishbone_adr;
+                               litedramcore_we <= (litedramcore_wishbone_we & (litedramcore_wishbone_sel != 1'd0));
                        end
                end
        endcase
@@ -1876,14 +1876,12 @@ end
 reg dummy_d_2;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_we <= 1'd0;
+       litedramcore_wishbone_ack <= 1'd0;
        case (state)
                1'd1: begin
+                       litedramcore_wishbone_ack <= 1'd1;
                end
                default: begin
-                       if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin
-                               litedramcore_we <= litedramcore_wishbone_we;
-                       end
                end
        endcase
 // synthesis translate_off
@@ -1895,12 +1893,14 @@ end
 reg dummy_d_3;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_wishbone_ack <= 1'd0;
+       litedramcore_adr <= 14'd0;
        case (state)
                1'd1: begin
-                       litedramcore_wishbone_ack <= 1'd1;
                end
                default: begin
+                       if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin
+                               litedramcore_adr <= litedramcore_wishbone_adr;
+                       end
                end
        endcase
 // synthesis translate_off
@@ -3235,65 +3235,6 @@ assign litedramcore_dfi_p3_rddata_valid = litedramcore_slave_p3_rddata_valid;
 // synthesis translate_off
 reg dummy_d_26;
 // synthesis translate_on
-always @(*) begin
-       litedramcore_master_p1_wrdata_en <= 1'd0;
-       if (litedramcore_storage[0]) begin
-               litedramcore_master_p1_wrdata_en <= litedramcore_slave_p1_wrdata_en;
-       end else begin
-               litedramcore_master_p1_wrdata_en <= litedramcore_inti_p1_wrdata_en;
-       end
-// synthesis translate_off
-       dummy_d_26 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_27;
-// synthesis translate_on
-always @(*) begin
-       litedramcore_inti_p2_rddata_valid <= 1'd0;
-       if (litedramcore_storage[0]) begin
-       end else begin
-               litedramcore_inti_p2_rddata_valid <= litedramcore_master_p2_rddata_valid;
-       end
-// synthesis translate_off
-       dummy_d_27 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_28;
-// synthesis translate_on
-always @(*) begin
-       litedramcore_master_p1_wrdata_mask <= 4'd0;
-       if (litedramcore_storage[0]) begin
-               litedramcore_master_p1_wrdata_mask <= litedramcore_slave_p1_wrdata_mask;
-       end else begin
-               litedramcore_master_p1_wrdata_mask <= litedramcore_inti_p1_wrdata_mask;
-       end
-// synthesis translate_off
-       dummy_d_28 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_29;
-// synthesis translate_on
-always @(*) begin
-       litedramcore_master_p1_rddata_en <= 1'd0;
-       if (litedramcore_storage[0]) begin
-               litedramcore_master_p1_rddata_en <= litedramcore_slave_p1_rddata_en;
-       end else begin
-               litedramcore_master_p1_rddata_en <= litedramcore_inti_p1_rddata_en;
-       end
-// synthesis translate_off
-       dummy_d_29 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_30;
-// synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_address <= 15'd0;
        if (litedramcore_storage[0]) begin
@@ -3302,12 +3243,12 @@ always @(*) begin
                litedramcore_master_p2_address <= litedramcore_inti_p2_address;
        end
 // synthesis translate_off
-       dummy_d_30 = dummy_s;
+       dummy_d_26 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_31;
+reg dummy_d_27;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_bank <= 3'd0;
@@ -3317,12 +3258,12 @@ always @(*) begin
                litedramcore_master_p2_bank <= litedramcore_inti_p2_bank;
        end
 // synthesis translate_off
-       dummy_d_31 = dummy_s;
+       dummy_d_27 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_32;
+reg dummy_d_28;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_cas_n <= 1'd1;
@@ -3332,12 +3273,12 @@ always @(*) begin
                litedramcore_master_p2_cas_n <= litedramcore_inti_p2_cas_n;
        end
 // synthesis translate_off
-       dummy_d_32 = dummy_s;
+       dummy_d_28 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_33;
+reg dummy_d_29;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_cs_n <= 1'd1;
@@ -3347,12 +3288,12 @@ always @(*) begin
                litedramcore_master_p2_cs_n <= litedramcore_inti_p2_cs_n;
        end
 // synthesis translate_off
-       dummy_d_33 = dummy_s;
+       dummy_d_29 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_34;
+reg dummy_d_30;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_ras_n <= 1'd1;
@@ -3362,12 +3303,12 @@ always @(*) begin
                litedramcore_master_p2_ras_n <= litedramcore_inti_p2_ras_n;
        end
 // synthesis translate_off
-       dummy_d_34 = dummy_s;
+       dummy_d_30 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_35;
+reg dummy_d_31;
 // synthesis translate_on
 always @(*) begin
        litedramcore_slave_p2_rddata <= 32'd0;
@@ -3376,12 +3317,12 @@ always @(*) begin
        end else begin
        end
 // synthesis translate_off
-       dummy_d_35 = dummy_s;
+       dummy_d_31 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_36;
+reg dummy_d_32;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_we_n <= 1'd1;
@@ -3391,12 +3332,12 @@ always @(*) begin
                litedramcore_master_p2_we_n <= litedramcore_inti_p2_we_n;
        end
 // synthesis translate_off
-       dummy_d_36 = dummy_s;
+       dummy_d_32 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_37;
+reg dummy_d_33;
 // synthesis translate_on
 always @(*) begin
        litedramcore_slave_p2_rddata_valid <= 1'd0;
@@ -3405,12 +3346,12 @@ always @(*) begin
        end else begin
        end
 // synthesis translate_off
-       dummy_d_37 = dummy_s;
+       dummy_d_33 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_38;
+reg dummy_d_34;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_cke <= 1'd0;
@@ -3420,26 +3361,12 @@ always @(*) begin
                litedramcore_master_p2_cke <= litedramcore_inti_p2_cke;
        end
 // synthesis translate_off
-       dummy_d_38 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_39;
-// synthesis translate_on
-always @(*) begin
-       litedramcore_inti_p2_rddata <= 32'd0;
-       if (litedramcore_storage[0]) begin
-       end else begin
-               litedramcore_inti_p2_rddata <= litedramcore_master_p2_rddata;
-       end
-// synthesis translate_off
-       dummy_d_39 = dummy_s;
+       dummy_d_34 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_40;
+reg dummy_d_35;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_odt <= 1'd0;
@@ -3449,12 +3376,12 @@ always @(*) begin
                litedramcore_master_p2_odt <= litedramcore_inti_p2_odt;
        end
 // synthesis translate_off
-       dummy_d_40 = dummy_s;
+       dummy_d_35 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_41;
+reg dummy_d_36;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_reset_n <= 1'd0;
@@ -3464,12 +3391,12 @@ always @(*) begin
                litedramcore_master_p2_reset_n <= litedramcore_inti_p2_reset_n;
        end
 // synthesis translate_off
-       dummy_d_41 = dummy_s;
+       dummy_d_36 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_42;
+reg dummy_d_37;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_act_n <= 1'd1;
@@ -3479,12 +3406,12 @@ always @(*) begin
                litedramcore_master_p2_act_n <= litedramcore_inti_p2_act_n;
        end
 // synthesis translate_off
-       dummy_d_42 = dummy_s;
+       dummy_d_37 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_43;
+reg dummy_d_38;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_wrdata <= 32'd0;
@@ -3494,12 +3421,12 @@ always @(*) begin
                litedramcore_master_p2_wrdata <= litedramcore_inti_p2_wrdata;
        end
 // synthesis translate_off
-       dummy_d_43 = dummy_s;
+       dummy_d_38 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_44;
+reg dummy_d_39;
 // synthesis translate_on
 always @(*) begin
        litedramcore_inti_p3_rddata <= 32'd0;
@@ -3508,12 +3435,12 @@ always @(*) begin
                litedramcore_inti_p3_rddata <= litedramcore_master_p3_rddata;
        end
 // synthesis translate_off
-       dummy_d_44 = dummy_s;
+       dummy_d_39 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_45;
+reg dummy_d_40;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_wrdata_en <= 1'd0;
@@ -3523,12 +3450,12 @@ always @(*) begin
                litedramcore_master_p2_wrdata_en <= litedramcore_inti_p2_wrdata_en;
        end
 // synthesis translate_off
-       dummy_d_45 = dummy_s;
+       dummy_d_40 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_46;
+reg dummy_d_41;
 // synthesis translate_on
 always @(*) begin
        litedramcore_inti_p3_rddata_valid <= 1'd0;
@@ -3537,12 +3464,12 @@ always @(*) begin
                litedramcore_inti_p3_rddata_valid <= litedramcore_master_p3_rddata_valid;
        end
 // synthesis translate_off
-       dummy_d_46 = dummy_s;
+       dummy_d_41 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_47;
+reg dummy_d_42;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_wrdata_mask <= 4'd0;
@@ -3552,12 +3479,12 @@ always @(*) begin
                litedramcore_master_p2_wrdata_mask <= litedramcore_inti_p2_wrdata_mask;
        end
 // synthesis translate_off
-       dummy_d_47 = dummy_s;
+       dummy_d_42 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_48;
+reg dummy_d_43;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p2_rddata_en <= 1'd0;
@@ -3567,12 +3494,12 @@ always @(*) begin
                litedramcore_master_p2_rddata_en <= litedramcore_inti_p2_rddata_en;
        end
 // synthesis translate_off
-       dummy_d_48 = dummy_s;
+       dummy_d_43 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_49;
+reg dummy_d_44;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_address <= 15'd0;
@@ -3582,12 +3509,12 @@ always @(*) begin
                litedramcore_master_p3_address <= litedramcore_inti_p3_address;
        end
 // synthesis translate_off
-       dummy_d_49 = dummy_s;
+       dummy_d_44 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_50;
+reg dummy_d_45;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_bank <= 3'd0;
@@ -3597,12 +3524,12 @@ always @(*) begin
                litedramcore_master_p3_bank <= litedramcore_inti_p3_bank;
        end
 // synthesis translate_off
-       dummy_d_50 = dummy_s;
+       dummy_d_45 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_51;
+reg dummy_d_46;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_cas_n <= 1'd1;
@@ -3612,12 +3539,12 @@ always @(*) begin
                litedramcore_master_p3_cas_n <= litedramcore_inti_p3_cas_n;
        end
 // synthesis translate_off
-       dummy_d_51 = dummy_s;
+       dummy_d_46 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_52;
+reg dummy_d_47;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_cs_n <= 1'd1;
@@ -3627,12 +3554,12 @@ always @(*) begin
                litedramcore_master_p3_cs_n <= litedramcore_inti_p3_cs_n;
        end
 // synthesis translate_off
-       dummy_d_52 = dummy_s;
+       dummy_d_47 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_53;
+reg dummy_d_48;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_ras_n <= 1'd1;
@@ -3642,12 +3569,12 @@ always @(*) begin
                litedramcore_master_p3_ras_n <= litedramcore_inti_p3_ras_n;
        end
 // synthesis translate_off
-       dummy_d_53 = dummy_s;
+       dummy_d_48 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_54;
+reg dummy_d_49;
 // synthesis translate_on
 always @(*) begin
        litedramcore_slave_p3_rddata <= 32'd0;
@@ -3656,12 +3583,12 @@ always @(*) begin
        end else begin
        end
 // synthesis translate_off
-       dummy_d_54 = dummy_s;
+       dummy_d_49 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_55;
+reg dummy_d_50;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_we_n <= 1'd1;
@@ -3671,12 +3598,12 @@ always @(*) begin
                litedramcore_master_p3_we_n <= litedramcore_inti_p3_we_n;
        end
 // synthesis translate_off
-       dummy_d_55 = dummy_s;
+       dummy_d_50 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_56;
+reg dummy_d_51;
 // synthesis translate_on
 always @(*) begin
        litedramcore_slave_p3_rddata_valid <= 1'd0;
@@ -3685,12 +3612,12 @@ always @(*) begin
        end else begin
        end
 // synthesis translate_off
-       dummy_d_56 = dummy_s;
+       dummy_d_51 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_57;
+reg dummy_d_52;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_cke <= 1'd0;
@@ -3700,12 +3627,12 @@ always @(*) begin
                litedramcore_master_p3_cke <= litedramcore_inti_p3_cke;
        end
 // synthesis translate_off
-       dummy_d_57 = dummy_s;
+       dummy_d_52 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_58;
+reg dummy_d_53;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_odt <= 1'd0;
@@ -3715,12 +3642,12 @@ always @(*) begin
                litedramcore_master_p3_odt <= litedramcore_inti_p3_odt;
        end
 // synthesis translate_off
-       dummy_d_58 = dummy_s;
+       dummy_d_53 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_59;
+reg dummy_d_54;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_reset_n <= 1'd0;
@@ -3730,12 +3657,12 @@ always @(*) begin
                litedramcore_master_p3_reset_n <= litedramcore_inti_p3_reset_n;
        end
 // synthesis translate_off
-       dummy_d_59 = dummy_s;
+       dummy_d_54 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_60;
+reg dummy_d_55;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_act_n <= 1'd1;
@@ -3745,12 +3672,12 @@ always @(*) begin
                litedramcore_master_p3_act_n <= litedramcore_inti_p3_act_n;
        end
 // synthesis translate_off
-       dummy_d_60 = dummy_s;
+       dummy_d_55 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_61;
+reg dummy_d_56;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_wrdata <= 32'd0;
@@ -3760,12 +3687,12 @@ always @(*) begin
                litedramcore_master_p3_wrdata <= litedramcore_inti_p3_wrdata;
        end
 // synthesis translate_off
-       dummy_d_61 = dummy_s;
+       dummy_d_56 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_62;
+reg dummy_d_57;
 // synthesis translate_on
 always @(*) begin
        litedramcore_inti_p0_rddata <= 32'd0;
@@ -3774,12 +3701,12 @@ always @(*) begin
                litedramcore_inti_p0_rddata <= litedramcore_master_p0_rddata;
        end
 // synthesis translate_off
-       dummy_d_62 = dummy_s;
+       dummy_d_57 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_63;
+reg dummy_d_58;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_wrdata_en <= 1'd0;
@@ -3789,12 +3716,12 @@ always @(*) begin
                litedramcore_master_p3_wrdata_en <= litedramcore_inti_p3_wrdata_en;
        end
 // synthesis translate_off
-       dummy_d_63 = dummy_s;
+       dummy_d_58 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_64;
+reg dummy_d_59;
 // synthesis translate_on
 always @(*) begin
        litedramcore_inti_p0_rddata_valid <= 1'd0;
@@ -3803,12 +3730,12 @@ always @(*) begin
                litedramcore_inti_p0_rddata_valid <= litedramcore_master_p0_rddata_valid;
        end
 // synthesis translate_off
-       dummy_d_64 = dummy_s;
+       dummy_d_59 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_65;
+reg dummy_d_60;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_wrdata_mask <= 4'd0;
@@ -3818,12 +3745,12 @@ always @(*) begin
                litedramcore_master_p3_wrdata_mask <= litedramcore_inti_p3_wrdata_mask;
        end
 // synthesis translate_off
-       dummy_d_65 = dummy_s;
+       dummy_d_60 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_66;
+reg dummy_d_61;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p3_rddata_en <= 1'd0;
@@ -3833,12 +3760,12 @@ always @(*) begin
                litedramcore_master_p3_rddata_en <= litedramcore_inti_p3_rddata_en;
        end
 // synthesis translate_off
-       dummy_d_66 = dummy_s;
+       dummy_d_61 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_67;
+reg dummy_d_62;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_address <= 15'd0;
@@ -3848,12 +3775,12 @@ always @(*) begin
                litedramcore_master_p0_address <= litedramcore_inti_p0_address;
        end
 // synthesis translate_off
-       dummy_d_67 = dummy_s;
+       dummy_d_62 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_68;
+reg dummy_d_63;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_bank <= 3'd0;
@@ -3863,12 +3790,12 @@ always @(*) begin
                litedramcore_master_p0_bank <= litedramcore_inti_p0_bank;
        end
 // synthesis translate_off
-       dummy_d_68 = dummy_s;
+       dummy_d_63 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_69;
+reg dummy_d_64;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_cas_n <= 1'd1;
@@ -3878,12 +3805,12 @@ always @(*) begin
                litedramcore_master_p0_cas_n <= litedramcore_inti_p0_cas_n;
        end
 // synthesis translate_off
-       dummy_d_69 = dummy_s;
+       dummy_d_64 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_70;
+reg dummy_d_65;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_cs_n <= 1'd1;
@@ -3893,12 +3820,12 @@ always @(*) begin
                litedramcore_master_p0_cs_n <= litedramcore_inti_p0_cs_n;
        end
 // synthesis translate_off
-       dummy_d_70 = dummy_s;
+       dummy_d_65 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_71;
+reg dummy_d_66;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_ras_n <= 1'd1;
@@ -3908,12 +3835,12 @@ always @(*) begin
                litedramcore_master_p0_ras_n <= litedramcore_inti_p0_ras_n;
        end
 // synthesis translate_off
-       dummy_d_71 = dummy_s;
+       dummy_d_66 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_72;
+reg dummy_d_67;
 // synthesis translate_on
 always @(*) begin
        litedramcore_slave_p0_rddata <= 32'd0;
@@ -3922,12 +3849,12 @@ always @(*) begin
        end else begin
        end
 // synthesis translate_off
-       dummy_d_72 = dummy_s;
+       dummy_d_67 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_73;
+reg dummy_d_68;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_we_n <= 1'd1;
@@ -3937,12 +3864,12 @@ always @(*) begin
                litedramcore_master_p0_we_n <= litedramcore_inti_p0_we_n;
        end
 // synthesis translate_off
-       dummy_d_73 = dummy_s;
+       dummy_d_68 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_74;
+reg dummy_d_69;
 // synthesis translate_on
 always @(*) begin
        litedramcore_slave_p0_rddata_valid <= 1'd0;
@@ -3951,12 +3878,12 @@ always @(*) begin
        end else begin
        end
 // synthesis translate_off
-       dummy_d_74 = dummy_s;
+       dummy_d_69 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_75;
+reg dummy_d_70;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_cke <= 1'd0;
@@ -3966,12 +3893,12 @@ always @(*) begin
                litedramcore_master_p0_cke <= litedramcore_inti_p0_cke;
        end
 // synthesis translate_off
-       dummy_d_75 = dummy_s;
+       dummy_d_70 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_76;
+reg dummy_d_71;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_odt <= 1'd0;
@@ -3981,12 +3908,12 @@ always @(*) begin
                litedramcore_master_p0_odt <= litedramcore_inti_p0_odt;
        end
 // synthesis translate_off
-       dummy_d_76 = dummy_s;
+       dummy_d_71 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_77;
+reg dummy_d_72;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_reset_n <= 1'd0;
@@ -3996,12 +3923,12 @@ always @(*) begin
                litedramcore_master_p0_reset_n <= litedramcore_inti_p0_reset_n;
        end
 // synthesis translate_off
-       dummy_d_77 = dummy_s;
+       dummy_d_72 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_78;
+reg dummy_d_73;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_act_n <= 1'd1;
@@ -4011,12 +3938,12 @@ always @(*) begin
                litedramcore_master_p0_act_n <= litedramcore_inti_p0_act_n;
        end
 // synthesis translate_off
-       dummy_d_78 = dummy_s;
+       dummy_d_73 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_79;
+reg dummy_d_74;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_wrdata <= 32'd0;
@@ -4026,12 +3953,12 @@ always @(*) begin
                litedramcore_master_p0_wrdata <= litedramcore_inti_p0_wrdata;
        end
 // synthesis translate_off
-       dummy_d_79 = dummy_s;
+       dummy_d_74 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_80;
+reg dummy_d_75;
 // synthesis translate_on
 always @(*) begin
        litedramcore_inti_p1_rddata <= 32'd0;
@@ -4040,12 +3967,12 @@ always @(*) begin
                litedramcore_inti_p1_rddata <= litedramcore_master_p1_rddata;
        end
 // synthesis translate_off
-       dummy_d_80 = dummy_s;
+       dummy_d_75 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_81;
+reg dummy_d_76;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_wrdata_en <= 1'd0;
@@ -4055,12 +3982,12 @@ always @(*) begin
                litedramcore_master_p0_wrdata_en <= litedramcore_inti_p0_wrdata_en;
        end
 // synthesis translate_off
-       dummy_d_81 = dummy_s;
+       dummy_d_76 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_82;
+reg dummy_d_77;
 // synthesis translate_on
 always @(*) begin
        litedramcore_inti_p1_rddata_valid <= 1'd0;
@@ -4069,12 +3996,12 @@ always @(*) begin
                litedramcore_inti_p1_rddata_valid <= litedramcore_master_p1_rddata_valid;
        end
 // synthesis translate_off
-       dummy_d_82 = dummy_s;
+       dummy_d_77 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_83;
+reg dummy_d_78;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_wrdata_mask <= 4'd0;
@@ -4084,12 +4011,12 @@ always @(*) begin
                litedramcore_master_p0_wrdata_mask <= litedramcore_inti_p0_wrdata_mask;
        end
 // synthesis translate_off
-       dummy_d_83 = dummy_s;
+       dummy_d_78 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_84;
+reg dummy_d_79;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p0_rddata_en <= 1'd0;
@@ -4099,12 +4026,12 @@ always @(*) begin
                litedramcore_master_p0_rddata_en <= litedramcore_inti_p0_rddata_en;
        end
 // synthesis translate_off
-       dummy_d_84 = dummy_s;
+       dummy_d_79 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_85;
+reg dummy_d_80;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p1_address <= 15'd0;
@@ -4114,12 +4041,12 @@ always @(*) begin
                litedramcore_master_p1_address <= litedramcore_inti_p1_address;
        end
 // synthesis translate_off
-       dummy_d_85 = dummy_s;
+       dummy_d_80 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_86;
+reg dummy_d_81;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p1_bank <= 3'd0;
@@ -4129,12 +4056,12 @@ always @(*) begin
                litedramcore_master_p1_bank <= litedramcore_inti_p1_bank;
        end
 // synthesis translate_off
-       dummy_d_86 = dummy_s;
+       dummy_d_81 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_87;
+reg dummy_d_82;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p1_cas_n <= 1'd1;
@@ -4144,12 +4071,12 @@ always @(*) begin
                litedramcore_master_p1_cas_n <= litedramcore_inti_p1_cas_n;
        end
 // synthesis translate_off
-       dummy_d_87 = dummy_s;
+       dummy_d_82 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_88;
+reg dummy_d_83;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p1_cs_n <= 1'd1;
@@ -4159,12 +4086,12 @@ always @(*) begin
                litedramcore_master_p1_cs_n <= litedramcore_inti_p1_cs_n;
        end
 // synthesis translate_off
-       dummy_d_88 = dummy_s;
+       dummy_d_83 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_89;
+reg dummy_d_84;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p1_ras_n <= 1'd1;
@@ -4174,12 +4101,12 @@ always @(*) begin
                litedramcore_master_p1_ras_n <= litedramcore_inti_p1_ras_n;
        end
 // synthesis translate_off
-       dummy_d_89 = dummy_s;
+       dummy_d_84 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_90;
+reg dummy_d_85;
 // synthesis translate_on
 always @(*) begin
        litedramcore_slave_p1_rddata <= 32'd0;
@@ -4188,12 +4115,12 @@ always @(*) begin
        end else begin
        end
 // synthesis translate_off
-       dummy_d_90 = dummy_s;
+       dummy_d_85 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_91;
+reg dummy_d_86;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p1_we_n <= 1'd1;
@@ -4203,12 +4130,12 @@ always @(*) begin
                litedramcore_master_p1_we_n <= litedramcore_inti_p1_we_n;
        end
 // synthesis translate_off
-       dummy_d_91 = dummy_s;
+       dummy_d_86 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_92;
+reg dummy_d_87;
 // synthesis translate_on
 always @(*) begin
        litedramcore_slave_p1_rddata_valid <= 1'd0;
@@ -4217,12 +4144,12 @@ always @(*) begin
        end else begin
        end
 // synthesis translate_off
-       dummy_d_92 = dummy_s;
+       dummy_d_87 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_93;
+reg dummy_d_88;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p1_cke <= 1'd0;
@@ -4232,12 +4159,26 @@ always @(*) begin
                litedramcore_master_p1_cke <= litedramcore_inti_p1_cke;
        end
 // synthesis translate_off
-       dummy_d_93 = dummy_s;
+       dummy_d_88 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_94;
+reg dummy_d_89;
+// synthesis translate_on
+always @(*) begin
+       litedramcore_inti_p2_rddata <= 32'd0;
+       if (litedramcore_storage[0]) begin
+       end else begin
+               litedramcore_inti_p2_rddata <= litedramcore_master_p2_rddata;
+       end
+// synthesis translate_off
+       dummy_d_89 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_90;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p1_odt <= 1'd0;
@@ -4247,12 +4188,12 @@ always @(*) begin
                litedramcore_master_p1_odt <= litedramcore_inti_p1_odt;
        end
 // synthesis translate_off
-       dummy_d_94 = dummy_s;
+       dummy_d_90 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_95;
+reg dummy_d_91;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p1_reset_n <= 1'd0;
@@ -4262,12 +4203,12 @@ always @(*) begin
                litedramcore_master_p1_reset_n <= litedramcore_inti_p1_reset_n;
        end
 // synthesis translate_off
-       dummy_d_95 = dummy_s;
+       dummy_d_91 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_96;
+reg dummy_d_92;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p1_act_n <= 1'd1;
@@ -4277,12 +4218,12 @@ always @(*) begin
                litedramcore_master_p1_act_n <= litedramcore_inti_p1_act_n;
        end
 // synthesis translate_off
-       dummy_d_96 = dummy_s;
+       dummy_d_92 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_97;
+reg dummy_d_93;
 // synthesis translate_on
 always @(*) begin
        litedramcore_master_p1_wrdata <= 32'd0;
@@ -4291,6 +4232,65 @@ always @(*) begin
        end else begin
                litedramcore_master_p1_wrdata <= litedramcore_inti_p1_wrdata;
        end
+// synthesis translate_off
+       dummy_d_93 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_94;
+// synthesis translate_on
+always @(*) begin
+       litedramcore_master_p1_wrdata_en <= 1'd0;
+       if (litedramcore_storage[0]) begin
+               litedramcore_master_p1_wrdata_en <= litedramcore_slave_p1_wrdata_en;
+       end else begin
+               litedramcore_master_p1_wrdata_en <= litedramcore_inti_p1_wrdata_en;
+       end
+// synthesis translate_off
+       dummy_d_94 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_95;
+// synthesis translate_on
+always @(*) begin
+       litedramcore_inti_p2_rddata_valid <= 1'd0;
+       if (litedramcore_storage[0]) begin
+       end else begin
+               litedramcore_inti_p2_rddata_valid <= litedramcore_master_p2_rddata_valid;
+       end
+// synthesis translate_off
+       dummy_d_95 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_96;
+// synthesis translate_on
+always @(*) begin
+       litedramcore_master_p1_wrdata_mask <= 4'd0;
+       if (litedramcore_storage[0]) begin
+               litedramcore_master_p1_wrdata_mask <= litedramcore_slave_p1_wrdata_mask;
+       end else begin
+               litedramcore_master_p1_wrdata_mask <= litedramcore_inti_p1_wrdata_mask;
+       end
+// synthesis translate_off
+       dummy_d_96 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_97;
+// synthesis translate_on
+always @(*) begin
+       litedramcore_master_p1_rddata_en <= 1'd0;
+       if (litedramcore_storage[0]) begin
+               litedramcore_master_p1_rddata_en <= litedramcore_slave_p1_rddata_en;
+       end else begin
+               litedramcore_master_p1_rddata_en <= litedramcore_inti_p1_rddata_en;
+       end
 // synthesis translate_off
        dummy_d_97 = dummy_s;
 // synthesis translate_on
@@ -4312,11 +4312,11 @@ assign litedramcore_inti_p3_reset_n = litedramcore_storage[3];
 reg dummy_d_98;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p0_cs_n <= 1'd1;
+       litedramcore_inti_p0_cas_n <= 1'd1;
        if (litedramcore_phaseinjector0_command_issue_re) begin
-               litedramcore_inti_p0_cs_n <= {1{(~litedramcore_phaseinjector0_command_storage[0])}};
+               litedramcore_inti_p0_cas_n <= (~litedramcore_phaseinjector0_command_storage[2]);
        end else begin
-               litedramcore_inti_p0_cs_n <= {1{1'd1}};
+               litedramcore_inti_p0_cas_n <= 1'd1;
        end
 // synthesis translate_off
        dummy_d_98 = dummy_s;
@@ -4327,11 +4327,11 @@ end
 reg dummy_d_99;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p0_ras_n <= 1'd1;
+       litedramcore_inti_p0_cs_n <= 1'd1;
        if (litedramcore_phaseinjector0_command_issue_re) begin
-               litedramcore_inti_p0_ras_n <= (~litedramcore_phaseinjector0_command_storage[3]);
+               litedramcore_inti_p0_cs_n <= {1{(~litedramcore_phaseinjector0_command_storage[0])}};
        end else begin
-               litedramcore_inti_p0_ras_n <= 1'd1;
+               litedramcore_inti_p0_cs_n <= {1{1'd1}};
        end
 // synthesis translate_off
        dummy_d_99 = dummy_s;
@@ -4342,11 +4342,11 @@ end
 reg dummy_d_100;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p0_we_n <= 1'd1;
+       litedramcore_inti_p0_ras_n <= 1'd1;
        if (litedramcore_phaseinjector0_command_issue_re) begin
-               litedramcore_inti_p0_we_n <= (~litedramcore_phaseinjector0_command_storage[1]);
+               litedramcore_inti_p0_ras_n <= (~litedramcore_phaseinjector0_command_storage[3]);
        end else begin
-               litedramcore_inti_p0_we_n <= 1'd1;
+               litedramcore_inti_p0_ras_n <= 1'd1;
        end
 // synthesis translate_off
        dummy_d_100 = dummy_s;
@@ -4357,11 +4357,11 @@ end
 reg dummy_d_101;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p0_cas_n <= 1'd1;
+       litedramcore_inti_p0_we_n <= 1'd1;
        if (litedramcore_phaseinjector0_command_issue_re) begin
-               litedramcore_inti_p0_cas_n <= (~litedramcore_phaseinjector0_command_storage[2]);
+               litedramcore_inti_p0_we_n <= (~litedramcore_phaseinjector0_command_storage[1]);
        end else begin
-               litedramcore_inti_p0_cas_n <= 1'd1;
+               litedramcore_inti_p0_we_n <= 1'd1;
        end
 // synthesis translate_off
        dummy_d_101 = dummy_s;
@@ -4378,11 +4378,11 @@ assign litedramcore_inti_p0_wrdata_mask = 1'd0;
 reg dummy_d_102;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p1_cs_n <= 1'd1;
+       litedramcore_inti_p1_cas_n <= 1'd1;
        if (litedramcore_phaseinjector1_command_issue_re) begin
-               litedramcore_inti_p1_cs_n <= {1{(~litedramcore_phaseinjector1_command_storage[0])}};
+               litedramcore_inti_p1_cas_n <= (~litedramcore_phaseinjector1_command_storage[2]);
        end else begin
-               litedramcore_inti_p1_cs_n <= {1{1'd1}};
+               litedramcore_inti_p1_cas_n <= 1'd1;
        end
 // synthesis translate_off
        dummy_d_102 = dummy_s;
@@ -4393,11 +4393,11 @@ end
 reg dummy_d_103;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p1_ras_n <= 1'd1;
+       litedramcore_inti_p1_cs_n <= 1'd1;
        if (litedramcore_phaseinjector1_command_issue_re) begin
-               litedramcore_inti_p1_ras_n <= (~litedramcore_phaseinjector1_command_storage[3]);
+               litedramcore_inti_p1_cs_n <= {1{(~litedramcore_phaseinjector1_command_storage[0])}};
        end else begin
-               litedramcore_inti_p1_ras_n <= 1'd1;
+               litedramcore_inti_p1_cs_n <= {1{1'd1}};
        end
 // synthesis translate_off
        dummy_d_103 = dummy_s;
@@ -4408,11 +4408,11 @@ end
 reg dummy_d_104;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p1_we_n <= 1'd1;
+       litedramcore_inti_p1_ras_n <= 1'd1;
        if (litedramcore_phaseinjector1_command_issue_re) begin
-               litedramcore_inti_p1_we_n <= (~litedramcore_phaseinjector1_command_storage[1]);
+               litedramcore_inti_p1_ras_n <= (~litedramcore_phaseinjector1_command_storage[3]);
        end else begin
-               litedramcore_inti_p1_we_n <= 1'd1;
+               litedramcore_inti_p1_ras_n <= 1'd1;
        end
 // synthesis translate_off
        dummy_d_104 = dummy_s;
@@ -4423,11 +4423,11 @@ end
 reg dummy_d_105;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p1_cas_n <= 1'd1;
+       litedramcore_inti_p1_we_n <= 1'd1;
        if (litedramcore_phaseinjector1_command_issue_re) begin
-               litedramcore_inti_p1_cas_n <= (~litedramcore_phaseinjector1_command_storage[2]);
+               litedramcore_inti_p1_we_n <= (~litedramcore_phaseinjector1_command_storage[1]);
        end else begin
-               litedramcore_inti_p1_cas_n <= 1'd1;
+               litedramcore_inti_p1_we_n <= 1'd1;
        end
 // synthesis translate_off
        dummy_d_105 = dummy_s;
@@ -4444,11 +4444,11 @@ assign litedramcore_inti_p1_wrdata_mask = 1'd0;
 reg dummy_d_106;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p2_cs_n <= 1'd1;
+       litedramcore_inti_p2_cas_n <= 1'd1;
        if (litedramcore_phaseinjector2_command_issue_re) begin
-               litedramcore_inti_p2_cs_n <= {1{(~litedramcore_phaseinjector2_command_storage[0])}};
+               litedramcore_inti_p2_cas_n <= (~litedramcore_phaseinjector2_command_storage[2]);
        end else begin
-               litedramcore_inti_p2_cs_n <= {1{1'd1}};
+               litedramcore_inti_p2_cas_n <= 1'd1;
        end
 // synthesis translate_off
        dummy_d_106 = dummy_s;
@@ -4459,11 +4459,11 @@ end
 reg dummy_d_107;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p2_ras_n <= 1'd1;
+       litedramcore_inti_p2_cs_n <= 1'd1;
        if (litedramcore_phaseinjector2_command_issue_re) begin
-               litedramcore_inti_p2_ras_n <= (~litedramcore_phaseinjector2_command_storage[3]);
+               litedramcore_inti_p2_cs_n <= {1{(~litedramcore_phaseinjector2_command_storage[0])}};
        end else begin
-               litedramcore_inti_p2_ras_n <= 1'd1;
+               litedramcore_inti_p2_cs_n <= {1{1'd1}};
        end
 // synthesis translate_off
        dummy_d_107 = dummy_s;
@@ -4474,11 +4474,11 @@ end
 reg dummy_d_108;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p2_we_n <= 1'd1;
+       litedramcore_inti_p2_ras_n <= 1'd1;
        if (litedramcore_phaseinjector2_command_issue_re) begin
-               litedramcore_inti_p2_we_n <= (~litedramcore_phaseinjector2_command_storage[1]);
+               litedramcore_inti_p2_ras_n <= (~litedramcore_phaseinjector2_command_storage[3]);
        end else begin
-               litedramcore_inti_p2_we_n <= 1'd1;
+               litedramcore_inti_p2_ras_n <= 1'd1;
        end
 // synthesis translate_off
        dummy_d_108 = dummy_s;
@@ -4489,11 +4489,11 @@ end
 reg dummy_d_109;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p2_cas_n <= 1'd1;
+       litedramcore_inti_p2_we_n <= 1'd1;
        if (litedramcore_phaseinjector2_command_issue_re) begin
-               litedramcore_inti_p2_cas_n <= (~litedramcore_phaseinjector2_command_storage[2]);
+               litedramcore_inti_p2_we_n <= (~litedramcore_phaseinjector2_command_storage[1]);
        end else begin
-               litedramcore_inti_p2_cas_n <= 1'd1;
+               litedramcore_inti_p2_we_n <= 1'd1;
        end
 // synthesis translate_off
        dummy_d_109 = dummy_s;
@@ -4510,11 +4510,11 @@ assign litedramcore_inti_p2_wrdata_mask = 1'd0;
 reg dummy_d_110;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p3_cs_n <= 1'd1;
+       litedramcore_inti_p3_cas_n <= 1'd1;
        if (litedramcore_phaseinjector3_command_issue_re) begin
-               litedramcore_inti_p3_cs_n <= {1{(~litedramcore_phaseinjector3_command_storage[0])}};
+               litedramcore_inti_p3_cas_n <= (~litedramcore_phaseinjector3_command_storage[2]);
        end else begin
-               litedramcore_inti_p3_cs_n <= {1{1'd1}};
+               litedramcore_inti_p3_cas_n <= 1'd1;
        end
 // synthesis translate_off
        dummy_d_110 = dummy_s;
@@ -4525,11 +4525,11 @@ end
 reg dummy_d_111;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p3_ras_n <= 1'd1;
+       litedramcore_inti_p3_cs_n <= 1'd1;
        if (litedramcore_phaseinjector3_command_issue_re) begin
-               litedramcore_inti_p3_ras_n <= (~litedramcore_phaseinjector3_command_storage[3]);
+               litedramcore_inti_p3_cs_n <= {1{(~litedramcore_phaseinjector3_command_storage[0])}};
        end else begin
-               litedramcore_inti_p3_ras_n <= 1'd1;
+               litedramcore_inti_p3_cs_n <= {1{1'd1}};
        end
 // synthesis translate_off
        dummy_d_111 = dummy_s;
@@ -4540,11 +4540,11 @@ end
 reg dummy_d_112;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p3_we_n <= 1'd1;
+       litedramcore_inti_p3_ras_n <= 1'd1;
        if (litedramcore_phaseinjector3_command_issue_re) begin
-               litedramcore_inti_p3_we_n <= (~litedramcore_phaseinjector3_command_storage[1]);
+               litedramcore_inti_p3_ras_n <= (~litedramcore_phaseinjector3_command_storage[3]);
        end else begin
-               litedramcore_inti_p3_we_n <= 1'd1;
+               litedramcore_inti_p3_ras_n <= 1'd1;
        end
 // synthesis translate_off
        dummy_d_112 = dummy_s;
@@ -4555,11 +4555,11 @@ end
 reg dummy_d_113;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_inti_p3_cas_n <= 1'd1;
+       litedramcore_inti_p3_we_n <= 1'd1;
        if (litedramcore_phaseinjector3_command_issue_re) begin
-               litedramcore_inti_p3_cas_n <= (~litedramcore_phaseinjector3_command_storage[2]);
+               litedramcore_inti_p3_we_n <= (~litedramcore_phaseinjector3_command_storage[1]);
        end else begin
-               litedramcore_inti_p3_cas_n <= 1'd1;
+               litedramcore_inti_p3_we_n <= 1'd1;
        end
 // synthesis translate_off
        dummy_d_113 = dummy_s;
@@ -4948,39 +4948,6 @@ end
 // synthesis translate_off
 reg dummy_d_123;
 // synthesis translate_on
-always @(*) begin
-       litedramcore_bankmachine0_row_open <= 1'd0;
-       case (bankmachine0_state)
-               1'd1: begin
-               end
-               2'd2: begin
-               end
-               2'd3: begin
-                       if (litedramcore_bankmachine0_trccon_ready) begin
-                               litedramcore_bankmachine0_row_open <= 1'd1;
-                       end
-               end
-               3'd4: begin
-               end
-               3'd5: begin
-               end
-               3'd6: begin
-               end
-               3'd7: begin
-               end
-               4'd8: begin
-               end
-               default: begin
-               end
-       endcase
-// synthesis translate_off
-       dummy_d_123 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_124;
-// synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine0_row_close <= 1'd0;
        case (bankmachine0_state)
@@ -5007,12 +4974,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_124 = dummy_s;
+       dummy_d_123 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_125;
+reg dummy_d_124;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine0_cmd_payload_cas <= 1'd0;
@@ -5049,12 +5016,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_125 = dummy_s;
+       dummy_d_124 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_126;
+reg dummy_d_125;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine0_cmd_payload_ras <= 1'd0;
@@ -5085,12 +5052,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_126 = dummy_s;
+       dummy_d_125 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_127;
+reg dummy_d_126;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine0_cmd_payload_we <= 1'd0;
@@ -5133,12 +5100,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_127 = dummy_s;
+       dummy_d_126 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_128;
+reg dummy_d_127;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine0_row_col_n_addr_sel <= 1'd0;
@@ -5166,12 +5133,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_128 = dummy_s;
+       dummy_d_127 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_129;
+reg dummy_d_128;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine0_cmd_payload_is_cmd <= 1'd0;
@@ -5203,12 +5170,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_129 = dummy_s;
+       dummy_d_128 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_130;
+reg dummy_d_129;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine0_cmd_payload_is_read <= 1'd0;
@@ -5248,12 +5215,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_130 = dummy_s;
+       dummy_d_129 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_131;
+reg dummy_d_130;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine0_cmd_payload_is_write <= 1'd0;
@@ -5293,12 +5260,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_131 = dummy_s;
+       dummy_d_130 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_132;
+reg dummy_d_131;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine0_req_wdata_ready <= 1'd0;
@@ -5338,12 +5305,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_132 = dummy_s;
+       dummy_d_131 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_133;
+reg dummy_d_132;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine0_req_rdata_valid <= 1'd0;
@@ -5383,12 +5350,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_133 = dummy_s;
+       dummy_d_132 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_134;
+reg dummy_d_133;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine0_refresh_gnt <= 1'd0;
@@ -5416,12 +5383,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_134 = dummy_s;
+       dummy_d_133 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_135;
+reg dummy_d_134;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine0_cmd_valid <= 1'd0;
@@ -5463,6 +5430,39 @@ always @(*) begin
                        end
                end
        endcase
+// synthesis translate_off
+       dummy_d_134 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_135;
+// synthesis translate_on
+always @(*) begin
+       litedramcore_bankmachine0_row_open <= 1'd0;
+       case (bankmachine0_state)
+               1'd1: begin
+               end
+               2'd2: begin
+               end
+               2'd3: begin
+                       if (litedramcore_bankmachine0_trccon_ready) begin
+                               litedramcore_bankmachine0_row_open <= 1'd1;
+                       end
+               end
+               3'd4: begin
+               end
+               3'd5: begin
+               end
+               3'd6: begin
+               end
+               3'd7: begin
+               end
+               4'd8: begin
+               end
+               default: begin
+               end
+       endcase
 // synthesis translate_off
        dummy_d_135 = dummy_s;
 // synthesis translate_on
@@ -5587,77 +5587,44 @@ always @(*) begin
                        end
                end
                3'd5: begin
-                       bankmachine1_next_state <= 3'd6;
-               end
-               3'd6: begin
-                       bankmachine1_next_state <= 2'd3;
-               end
-               3'd7: begin
-                       bankmachine1_next_state <= 4'd8;
-               end
-               4'd8: begin
-                       bankmachine1_next_state <= 1'd0;
-               end
-               default: begin
-                       if (litedramcore_bankmachine1_refresh_req) begin
-                               bankmachine1_next_state <= 3'd4;
-                       end else begin
-                               if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin
-                                       if (litedramcore_bankmachine1_row_opened) begin
-                                               if (litedramcore_bankmachine1_row_hit) begin
-                                                       if ((litedramcore_bankmachine1_cmd_ready & litedramcore_bankmachine1_auto_precharge)) begin
-                                                               bankmachine1_next_state <= 2'd2;
-                                                       end
-                                               end else begin
-                                                       bankmachine1_next_state <= 1'd1;
-                                               end
-                                       end else begin
-                                               bankmachine1_next_state <= 2'd3;
-                                       end
-                               end
-                       end
-               end
-       endcase
-// synthesis translate_off
-       dummy_d_139 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_140;
-// synthesis translate_on
-always @(*) begin
-       litedramcore_bankmachine1_row_open <= 1'd0;
-       case (bankmachine1_state)
-               1'd1: begin
-               end
-               2'd2: begin
-               end
-               2'd3: begin
-                       if (litedramcore_bankmachine1_trccon_ready) begin
-                               litedramcore_bankmachine1_row_open <= 1'd1;
-                       end
-               end
-               3'd4: begin
-               end
-               3'd5: begin
+                       bankmachine1_next_state <= 3'd6;
                end
                3'd6: begin
+                       bankmachine1_next_state <= 2'd3;
                end
                3'd7: begin
+                       bankmachine1_next_state <= 4'd8;
                end
                4'd8: begin
+                       bankmachine1_next_state <= 1'd0;
                end
                default: begin
+                       if (litedramcore_bankmachine1_refresh_req) begin
+                               bankmachine1_next_state <= 3'd4;
+                       end else begin
+                               if (litedramcore_bankmachine1_cmd_buffer_source_valid) begin
+                                       if (litedramcore_bankmachine1_row_opened) begin
+                                               if (litedramcore_bankmachine1_row_hit) begin
+                                                       if ((litedramcore_bankmachine1_cmd_ready & litedramcore_bankmachine1_auto_precharge)) begin
+                                                               bankmachine1_next_state <= 2'd2;
+                                                       end
+                                               end else begin
+                                                       bankmachine1_next_state <= 1'd1;
+                                               end
+                                       end else begin
+                                               bankmachine1_next_state <= 2'd3;
+                                       end
+                               end
+                       end
                end
        endcase
 // synthesis translate_off
-       dummy_d_140 = dummy_s;
+       dummy_d_139 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_141;
+reg dummy_d_140;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine1_row_close <= 1'd0;
@@ -5685,12 +5652,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_141 = dummy_s;
+       dummy_d_140 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_142;
+reg dummy_d_141;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine1_cmd_payload_cas <= 1'd0;
@@ -5727,12 +5694,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_142 = dummy_s;
+       dummy_d_141 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_143;
+reg dummy_d_142;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine1_cmd_payload_ras <= 1'd0;
@@ -5763,12 +5730,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_143 = dummy_s;
+       dummy_d_142 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_144;
+reg dummy_d_143;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine1_cmd_payload_we <= 1'd0;
@@ -5811,12 +5778,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_144 = dummy_s;
+       dummy_d_143 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_145;
+reg dummy_d_144;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine1_row_col_n_addr_sel <= 1'd0;
@@ -5844,12 +5811,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_145 = dummy_s;
+       dummy_d_144 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_146;
+reg dummy_d_145;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine1_cmd_payload_is_cmd <= 1'd0;
@@ -5881,12 +5848,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_146 = dummy_s;
+       dummy_d_145 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_147;
+reg dummy_d_146;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine1_cmd_payload_is_read <= 1'd0;
@@ -5926,12 +5893,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_147 = dummy_s;
+       dummy_d_146 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_148;
+reg dummy_d_147;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine1_cmd_payload_is_write <= 1'd0;
@@ -5971,12 +5938,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_148 = dummy_s;
+       dummy_d_147 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_149;
+reg dummy_d_148;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine1_req_wdata_ready <= 1'd0;
@@ -6016,12 +5983,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_149 = dummy_s;
+       dummy_d_148 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_150;
+reg dummy_d_149;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine1_req_rdata_valid <= 1'd0;
@@ -6061,12 +6028,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_150 = dummy_s;
+       dummy_d_149 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_151;
+reg dummy_d_150;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine1_refresh_gnt <= 1'd0;
@@ -6094,12 +6061,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_151 = dummy_s;
+       dummy_d_150 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_152;
+reg dummy_d_151;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine1_cmd_valid <= 1'd0;
@@ -6141,6 +6108,39 @@ always @(*) begin
                        end
                end
        endcase
+// synthesis translate_off
+       dummy_d_151 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_152;
+// synthesis translate_on
+always @(*) begin
+       litedramcore_bankmachine1_row_open <= 1'd0;
+       case (bankmachine1_state)
+               1'd1: begin
+               end
+               2'd2: begin
+               end
+               2'd3: begin
+                       if (litedramcore_bankmachine1_trccon_ready) begin
+                               litedramcore_bankmachine1_row_open <= 1'd1;
+                       end
+               end
+               3'd4: begin
+               end
+               3'd5: begin
+               end
+               3'd6: begin
+               end
+               3'd7: begin
+               end
+               4'd8: begin
+               end
+               default: begin
+               end
+       endcase
 // synthesis translate_off
        dummy_d_152 = dummy_s;
 // synthesis translate_on
@@ -6304,39 +6304,6 @@ end
 // synthesis translate_off
 reg dummy_d_157;
 // synthesis translate_on
-always @(*) begin
-       litedramcore_bankmachine2_row_open <= 1'd0;
-       case (bankmachine2_state)
-               1'd1: begin
-               end
-               2'd2: begin
-               end
-               2'd3: begin
-                       if (litedramcore_bankmachine2_trccon_ready) begin
-                               litedramcore_bankmachine2_row_open <= 1'd1;
-                       end
-               end
-               3'd4: begin
-               end
-               3'd5: begin
-               end
-               3'd6: begin
-               end
-               3'd7: begin
-               end
-               4'd8: begin
-               end
-               default: begin
-               end
-       endcase
-// synthesis translate_off
-       dummy_d_157 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_158;
-// synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine2_row_close <= 1'd0;
        case (bankmachine2_state)
@@ -6363,12 +6330,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_158 = dummy_s;
+       dummy_d_157 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_159;
+reg dummy_d_158;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine2_cmd_payload_cas <= 1'd0;
@@ -6405,12 +6372,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_159 = dummy_s;
+       dummy_d_158 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_160;
+reg dummy_d_159;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine2_cmd_payload_ras <= 1'd0;
@@ -6441,12 +6408,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_160 = dummy_s;
+       dummy_d_159 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_161;
+reg dummy_d_160;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine2_cmd_payload_we <= 1'd0;
@@ -6489,12 +6456,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_161 = dummy_s;
+       dummy_d_160 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_162;
+reg dummy_d_161;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine2_row_col_n_addr_sel <= 1'd0;
@@ -6522,12 +6489,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_162 = dummy_s;
+       dummy_d_161 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_163;
+reg dummy_d_162;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine2_cmd_payload_is_cmd <= 1'd0;
@@ -6559,12 +6526,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_163 = dummy_s;
+       dummy_d_162 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_164;
+reg dummy_d_163;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine2_cmd_payload_is_read <= 1'd0;
@@ -6604,12 +6571,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_164 = dummy_s;
+       dummy_d_163 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_165;
+reg dummy_d_164;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine2_cmd_payload_is_write <= 1'd0;
@@ -6649,12 +6616,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_165 = dummy_s;
+       dummy_d_164 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_166;
+reg dummy_d_165;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine2_req_wdata_ready <= 1'd0;
@@ -6694,12 +6661,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_166 = dummy_s;
+       dummy_d_165 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_167;
+reg dummy_d_166;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine2_req_rdata_valid <= 1'd0;
@@ -6739,12 +6706,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_167 = dummy_s;
+       dummy_d_166 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_168;
+reg dummy_d_167;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine2_refresh_gnt <= 1'd0;
@@ -6772,12 +6739,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_168 = dummy_s;
+       dummy_d_167 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_169;
+reg dummy_d_168;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine2_cmd_valid <= 1'd0;
@@ -6819,6 +6786,39 @@ always @(*) begin
                        end
                end
        endcase
+// synthesis translate_off
+       dummy_d_168 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_169;
+// synthesis translate_on
+always @(*) begin
+       litedramcore_bankmachine2_row_open <= 1'd0;
+       case (bankmachine2_state)
+               1'd1: begin
+               end
+               2'd2: begin
+               end
+               2'd3: begin
+                       if (litedramcore_bankmachine2_trccon_ready) begin
+                               litedramcore_bankmachine2_row_open <= 1'd1;
+                       end
+               end
+               3'd4: begin
+               end
+               3'd5: begin
+               end
+               3'd6: begin
+               end
+               3'd7: begin
+               end
+               4'd8: begin
+               end
+               default: begin
+               end
+       endcase
 // synthesis translate_off
        dummy_d_169 = dummy_s;
 // synthesis translate_on
@@ -6961,59 +6961,26 @@ always @(*) begin
                                if (litedramcore_bankmachine3_cmd_buffer_source_valid) begin
                                        if (litedramcore_bankmachine3_row_opened) begin
                                                if (litedramcore_bankmachine3_row_hit) begin
-                                                       if ((litedramcore_bankmachine3_cmd_ready & litedramcore_bankmachine3_auto_precharge)) begin
-                                                               bankmachine3_next_state <= 2'd2;
-                                                       end
-                                               end else begin
-                                                       bankmachine3_next_state <= 1'd1;
-                                               end
-                                       end else begin
-                                               bankmachine3_next_state <= 2'd3;
-                                       end
-                               end
-                       end
-               end
-       endcase
-// synthesis translate_off
-       dummy_d_173 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_174;
-// synthesis translate_on
-always @(*) begin
-       litedramcore_bankmachine3_row_open <= 1'd0;
-       case (bankmachine3_state)
-               1'd1: begin
-               end
-               2'd2: begin
-               end
-               2'd3: begin
-                       if (litedramcore_bankmachine3_trccon_ready) begin
-                               litedramcore_bankmachine3_row_open <= 1'd1;
-                       end
-               end
-               3'd4: begin
-               end
-               3'd5: begin
-               end
-               3'd6: begin
-               end
-               3'd7: begin
-               end
-               4'd8: begin
-               end
-               default: begin
+                                                       if ((litedramcore_bankmachine3_cmd_ready & litedramcore_bankmachine3_auto_precharge)) begin
+                                                               bankmachine3_next_state <= 2'd2;
+                                                       end
+                                               end else begin
+                                                       bankmachine3_next_state <= 1'd1;
+                                               end
+                                       end else begin
+                                               bankmachine3_next_state <= 2'd3;
+                                       end
+                               end
+                       end
                end
        endcase
 // synthesis translate_off
-       dummy_d_174 = dummy_s;
+       dummy_d_173 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_175;
+reg dummy_d_174;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine3_row_close <= 1'd0;
@@ -7041,12 +7008,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_175 = dummy_s;
+       dummy_d_174 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_176;
+reg dummy_d_175;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine3_cmd_payload_cas <= 1'd0;
@@ -7083,12 +7050,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_176 = dummy_s;
+       dummy_d_175 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_177;
+reg dummy_d_176;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine3_cmd_payload_ras <= 1'd0;
@@ -7119,12 +7086,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_177 = dummy_s;
+       dummy_d_176 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_178;
+reg dummy_d_177;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine3_cmd_payload_we <= 1'd0;
@@ -7167,12 +7134,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_178 = dummy_s;
+       dummy_d_177 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_179;
+reg dummy_d_178;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine3_row_col_n_addr_sel <= 1'd0;
@@ -7200,12 +7167,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_179 = dummy_s;
+       dummy_d_178 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_180;
+reg dummy_d_179;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine3_cmd_payload_is_cmd <= 1'd0;
@@ -7237,12 +7204,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_180 = dummy_s;
+       dummy_d_179 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_181;
+reg dummy_d_180;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine3_cmd_payload_is_read <= 1'd0;
@@ -7282,12 +7249,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_181 = dummy_s;
+       dummy_d_180 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_182;
+reg dummy_d_181;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine3_cmd_payload_is_write <= 1'd0;
@@ -7327,12 +7294,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_182 = dummy_s;
+       dummy_d_181 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_183;
+reg dummy_d_182;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine3_req_wdata_ready <= 1'd0;
@@ -7372,12 +7339,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_183 = dummy_s;
+       dummy_d_182 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_184;
+reg dummy_d_183;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine3_req_rdata_valid <= 1'd0;
@@ -7417,12 +7384,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_184 = dummy_s;
+       dummy_d_183 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_185;
+reg dummy_d_184;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine3_refresh_gnt <= 1'd0;
@@ -7450,12 +7417,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_185 = dummy_s;
+       dummy_d_184 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_186;
+reg dummy_d_185;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine3_cmd_valid <= 1'd0;
@@ -7497,6 +7464,39 @@ always @(*) begin
                        end
                end
        endcase
+// synthesis translate_off
+       dummy_d_185 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_186;
+// synthesis translate_on
+always @(*) begin
+       litedramcore_bankmachine3_row_open <= 1'd0;
+       case (bankmachine3_state)
+               1'd1: begin
+               end
+               2'd2: begin
+               end
+               2'd3: begin
+                       if (litedramcore_bankmachine3_trccon_ready) begin
+                               litedramcore_bankmachine3_row_open <= 1'd1;
+                       end
+               end
+               3'd4: begin
+               end
+               3'd5: begin
+               end
+               3'd6: begin
+               end
+               3'd7: begin
+               end
+               4'd8: begin
+               end
+               default: begin
+               end
+       endcase
 // synthesis translate_off
        dummy_d_186 = dummy_s;
 // synthesis translate_on
@@ -7660,39 +7660,6 @@ end
 // synthesis translate_off
 reg dummy_d_191;
 // synthesis translate_on
-always @(*) begin
-       litedramcore_bankmachine4_row_open <= 1'd0;
-       case (bankmachine4_state)
-               1'd1: begin
-               end
-               2'd2: begin
-               end
-               2'd3: begin
-                       if (litedramcore_bankmachine4_trccon_ready) begin
-                               litedramcore_bankmachine4_row_open <= 1'd1;
-                       end
-               end
-               3'd4: begin
-               end
-               3'd5: begin
-               end
-               3'd6: begin
-               end
-               3'd7: begin
-               end
-               4'd8: begin
-               end
-               default: begin
-               end
-       endcase
-// synthesis translate_off
-       dummy_d_191 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_192;
-// synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine4_row_close <= 1'd0;
        case (bankmachine4_state)
@@ -7719,12 +7686,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_192 = dummy_s;
+       dummy_d_191 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_193;
+reg dummy_d_192;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine4_cmd_payload_cas <= 1'd0;
@@ -7761,12 +7728,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_193 = dummy_s;
+       dummy_d_192 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_194;
+reg dummy_d_193;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine4_cmd_payload_ras <= 1'd0;
@@ -7797,12 +7764,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_194 = dummy_s;
+       dummy_d_193 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_195;
+reg dummy_d_194;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine4_cmd_payload_we <= 1'd0;
@@ -7845,12 +7812,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_195 = dummy_s;
+       dummy_d_194 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_196;
+reg dummy_d_195;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine4_row_col_n_addr_sel <= 1'd0;
@@ -7878,12 +7845,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_196 = dummy_s;
+       dummy_d_195 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_197;
+reg dummy_d_196;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine4_cmd_payload_is_cmd <= 1'd0;
@@ -7915,12 +7882,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_197 = dummy_s;
+       dummy_d_196 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_198;
+reg dummy_d_197;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine4_cmd_payload_is_read <= 1'd0;
@@ -7960,12 +7927,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_198 = dummy_s;
+       dummy_d_197 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_199;
+reg dummy_d_198;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine4_cmd_payload_is_write <= 1'd0;
@@ -8005,12 +7972,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_199 = dummy_s;
+       dummy_d_198 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_200;
+reg dummy_d_199;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine4_req_wdata_ready <= 1'd0;
@@ -8050,12 +8017,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_200 = dummy_s;
+       dummy_d_199 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_201;
+reg dummy_d_200;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine4_req_rdata_valid <= 1'd0;
@@ -8095,12 +8062,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_201 = dummy_s;
+       dummy_d_200 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_202;
+reg dummy_d_201;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine4_refresh_gnt <= 1'd0;
@@ -8128,12 +8095,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_202 = dummy_s;
+       dummy_d_201 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_203;
+reg dummy_d_202;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine4_cmd_valid <= 1'd0;
@@ -8175,6 +8142,39 @@ always @(*) begin
                        end
                end
        endcase
+// synthesis translate_off
+       dummy_d_202 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_203;
+// synthesis translate_on
+always @(*) begin
+       litedramcore_bankmachine4_row_open <= 1'd0;
+       case (bankmachine4_state)
+               1'd1: begin
+               end
+               2'd2: begin
+               end
+               2'd3: begin
+                       if (litedramcore_bankmachine4_trccon_ready) begin
+                               litedramcore_bankmachine4_row_open <= 1'd1;
+                       end
+               end
+               3'd4: begin
+               end
+               3'd5: begin
+               end
+               3'd6: begin
+               end
+               3'd7: begin
+               end
+               4'd8: begin
+               end
+               default: begin
+               end
+       endcase
 // synthesis translate_off
        dummy_d_203 = dummy_s;
 // synthesis translate_on
@@ -8299,77 +8299,44 @@ always @(*) begin
                        end
                end
                3'd5: begin
-                       bankmachine5_next_state <= 3'd6;
-               end
-               3'd6: begin
-                       bankmachine5_next_state <= 2'd3;
-               end
-               3'd7: begin
-                       bankmachine5_next_state <= 4'd8;
-               end
-               4'd8: begin
-                       bankmachine5_next_state <= 1'd0;
-               end
-               default: begin
-                       if (litedramcore_bankmachine5_refresh_req) begin
-                               bankmachine5_next_state <= 3'd4;
-                       end else begin
-                               if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin
-                                       if (litedramcore_bankmachine5_row_opened) begin
-                                               if (litedramcore_bankmachine5_row_hit) begin
-                                                       if ((litedramcore_bankmachine5_cmd_ready & litedramcore_bankmachine5_auto_precharge)) begin
-                                                               bankmachine5_next_state <= 2'd2;
-                                                       end
-                                               end else begin
-                                                       bankmachine5_next_state <= 1'd1;
-                                               end
-                                       end else begin
-                                               bankmachine5_next_state <= 2'd3;
-                                       end
-                               end
-                       end
-               end
-       endcase
-// synthesis translate_off
-       dummy_d_207 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_208;
-// synthesis translate_on
-always @(*) begin
-       litedramcore_bankmachine5_row_open <= 1'd0;
-       case (bankmachine5_state)
-               1'd1: begin
-               end
-               2'd2: begin
-               end
-               2'd3: begin
-                       if (litedramcore_bankmachine5_trccon_ready) begin
-                               litedramcore_bankmachine5_row_open <= 1'd1;
-                       end
-               end
-               3'd4: begin
-               end
-               3'd5: begin
+                       bankmachine5_next_state <= 3'd6;
                end
                3'd6: begin
+                       bankmachine5_next_state <= 2'd3;
                end
                3'd7: begin
+                       bankmachine5_next_state <= 4'd8;
                end
                4'd8: begin
+                       bankmachine5_next_state <= 1'd0;
                end
                default: begin
+                       if (litedramcore_bankmachine5_refresh_req) begin
+                               bankmachine5_next_state <= 3'd4;
+                       end else begin
+                               if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin
+                                       if (litedramcore_bankmachine5_row_opened) begin
+                                               if (litedramcore_bankmachine5_row_hit) begin
+                                                       if ((litedramcore_bankmachine5_cmd_ready & litedramcore_bankmachine5_auto_precharge)) begin
+                                                               bankmachine5_next_state <= 2'd2;
+                                                       end
+                                               end else begin
+                                                       bankmachine5_next_state <= 1'd1;
+                                               end
+                                       end else begin
+                                               bankmachine5_next_state <= 2'd3;
+                                       end
+                               end
+                       end
                end
        endcase
 // synthesis translate_off
-       dummy_d_208 = dummy_s;
+       dummy_d_207 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_209;
+reg dummy_d_208;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine5_row_close <= 1'd0;
@@ -8397,12 +8364,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_209 = dummy_s;
+       dummy_d_208 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_210;
+reg dummy_d_209;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine5_cmd_payload_cas <= 1'd0;
@@ -8439,12 +8406,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_210 = dummy_s;
+       dummy_d_209 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_211;
+reg dummy_d_210;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine5_cmd_payload_ras <= 1'd0;
@@ -8475,12 +8442,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_211 = dummy_s;
+       dummy_d_210 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_212;
+reg dummy_d_211;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine5_cmd_payload_we <= 1'd0;
@@ -8523,12 +8490,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_212 = dummy_s;
+       dummy_d_211 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_213;
+reg dummy_d_212;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine5_row_col_n_addr_sel <= 1'd0;
@@ -8556,12 +8523,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_213 = dummy_s;
+       dummy_d_212 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_214;
+reg dummy_d_213;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine5_cmd_payload_is_cmd <= 1'd0;
@@ -8593,12 +8560,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_214 = dummy_s;
+       dummy_d_213 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_215;
+reg dummy_d_214;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine5_cmd_payload_is_read <= 1'd0;
@@ -8638,12 +8605,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_215 = dummy_s;
+       dummy_d_214 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_216;
+reg dummy_d_215;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine5_cmd_payload_is_write <= 1'd0;
@@ -8683,12 +8650,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_216 = dummy_s;
+       dummy_d_215 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_217;
+reg dummy_d_216;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine5_req_wdata_ready <= 1'd0;
@@ -8728,12 +8695,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_217 = dummy_s;
+       dummy_d_216 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_218;
+reg dummy_d_217;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine5_req_rdata_valid <= 1'd0;
@@ -8773,12 +8740,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_218 = dummy_s;
+       dummy_d_217 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_219;
+reg dummy_d_218;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine5_refresh_gnt <= 1'd0;
@@ -8806,12 +8773,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_219 = dummy_s;
+       dummy_d_218 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_220;
+reg dummy_d_219;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine5_cmd_valid <= 1'd0;
@@ -8853,6 +8820,39 @@ always @(*) begin
                        end
                end
        endcase
+// synthesis translate_off
+       dummy_d_219 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_220;
+// synthesis translate_on
+always @(*) begin
+       litedramcore_bankmachine5_row_open <= 1'd0;
+       case (bankmachine5_state)
+               1'd1: begin
+               end
+               2'd2: begin
+               end
+               2'd3: begin
+                       if (litedramcore_bankmachine5_trccon_ready) begin
+                               litedramcore_bankmachine5_row_open <= 1'd1;
+                       end
+               end
+               3'd4: begin
+               end
+               3'd5: begin
+               end
+               3'd6: begin
+               end
+               3'd7: begin
+               end
+               4'd8: begin
+               end
+               default: begin
+               end
+       endcase
 // synthesis translate_off
        dummy_d_220 = dummy_s;
 // synthesis translate_on
@@ -9016,39 +9016,6 @@ end
 // synthesis translate_off
 reg dummy_d_225;
 // synthesis translate_on
-always @(*) begin
-       litedramcore_bankmachine6_row_open <= 1'd0;
-       case (bankmachine6_state)
-               1'd1: begin
-               end
-               2'd2: begin
-               end
-               2'd3: begin
-                       if (litedramcore_bankmachine6_trccon_ready) begin
-                               litedramcore_bankmachine6_row_open <= 1'd1;
-                       end
-               end
-               3'd4: begin
-               end
-               3'd5: begin
-               end
-               3'd6: begin
-               end
-               3'd7: begin
-               end
-               4'd8: begin
-               end
-               default: begin
-               end
-       endcase
-// synthesis translate_off
-       dummy_d_225 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_226;
-// synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine6_row_close <= 1'd0;
        case (bankmachine6_state)
@@ -9075,12 +9042,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_226 = dummy_s;
+       dummy_d_225 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_227;
+reg dummy_d_226;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine6_cmd_payload_cas <= 1'd0;
@@ -9117,12 +9084,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_227 = dummy_s;
+       dummy_d_226 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_228;
+reg dummy_d_227;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine6_cmd_payload_ras <= 1'd0;
@@ -9153,12 +9120,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_228 = dummy_s;
+       dummy_d_227 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_229;
+reg dummy_d_228;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine6_cmd_payload_we <= 1'd0;
@@ -9201,12 +9168,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_229 = dummy_s;
+       dummy_d_228 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_230;
+reg dummy_d_229;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine6_row_col_n_addr_sel <= 1'd0;
@@ -9234,12 +9201,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_230 = dummy_s;
+       dummy_d_229 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_231;
+reg dummy_d_230;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine6_cmd_payload_is_cmd <= 1'd0;
@@ -9271,12 +9238,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_231 = dummy_s;
+       dummy_d_230 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_232;
+reg dummy_d_231;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine6_cmd_payload_is_read <= 1'd0;
@@ -9316,12 +9283,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_232 = dummy_s;
+       dummy_d_231 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_233;
+reg dummy_d_232;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine6_cmd_payload_is_write <= 1'd0;
@@ -9361,12 +9328,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_233 = dummy_s;
+       dummy_d_232 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_234;
+reg dummy_d_233;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine6_req_wdata_ready <= 1'd0;
@@ -9406,12 +9373,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_234 = dummy_s;
+       dummy_d_233 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_235;
+reg dummy_d_234;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine6_req_rdata_valid <= 1'd0;
@@ -9451,12 +9418,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_235 = dummy_s;
+       dummy_d_234 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_236;
+reg dummy_d_235;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine6_refresh_gnt <= 1'd0;
@@ -9484,12 +9451,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_236 = dummy_s;
+       dummy_d_235 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_237;
+reg dummy_d_236;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine6_cmd_valid <= 1'd0;
@@ -9531,6 +9498,39 @@ always @(*) begin
                        end
                end
        endcase
+// synthesis translate_off
+       dummy_d_236 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_237;
+// synthesis translate_on
+always @(*) begin
+       litedramcore_bankmachine6_row_open <= 1'd0;
+       case (bankmachine6_state)
+               1'd1: begin
+               end
+               2'd2: begin
+               end
+               2'd3: begin
+                       if (litedramcore_bankmachine6_trccon_ready) begin
+                               litedramcore_bankmachine6_row_open <= 1'd1;
+                       end
+               end
+               3'd4: begin
+               end
+               3'd5: begin
+               end
+               3'd6: begin
+               end
+               3'd7: begin
+               end
+               4'd8: begin
+               end
+               default: begin
+               end
+       endcase
 // synthesis translate_off
        dummy_d_237 = dummy_s;
 // synthesis translate_on
@@ -9673,59 +9673,26 @@ always @(*) begin
                                if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
                                        if (litedramcore_bankmachine7_row_opened) begin
                                                if (litedramcore_bankmachine7_row_hit) begin
-                                                       if ((litedramcore_bankmachine7_cmd_ready & litedramcore_bankmachine7_auto_precharge)) begin
-                                                               bankmachine7_next_state <= 2'd2;
-                                                       end
-                                               end else begin
-                                                       bankmachine7_next_state <= 1'd1;
-                                               end
-                                       end else begin
-                                               bankmachine7_next_state <= 2'd3;
-                                       end
-                               end
-                       end
-               end
-       endcase
-// synthesis translate_off
-       dummy_d_241 = dummy_s;
-// synthesis translate_on
-end
-
-// synthesis translate_off
-reg dummy_d_242;
-// synthesis translate_on
-always @(*) begin
-       litedramcore_bankmachine7_row_open <= 1'd0;
-       case (bankmachine7_state)
-               1'd1: begin
-               end
-               2'd2: begin
-               end
-               2'd3: begin
-                       if (litedramcore_bankmachine7_trccon_ready) begin
-                               litedramcore_bankmachine7_row_open <= 1'd1;
-                       end
-               end
-               3'd4: begin
-               end
-               3'd5: begin
-               end
-               3'd6: begin
-               end
-               3'd7: begin
-               end
-               4'd8: begin
-               end
-               default: begin
+                                                       if ((litedramcore_bankmachine7_cmd_ready & litedramcore_bankmachine7_auto_precharge)) begin
+                                                               bankmachine7_next_state <= 2'd2;
+                                                       end
+                                               end else begin
+                                                       bankmachine7_next_state <= 1'd1;
+                                               end
+                                       end else begin
+                                               bankmachine7_next_state <= 2'd3;
+                                       end
+                               end
+                       end
                end
        endcase
 // synthesis translate_off
-       dummy_d_242 = dummy_s;
+       dummy_d_241 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_243;
+reg dummy_d_242;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine7_row_close <= 1'd0;
@@ -9753,12 +9720,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_243 = dummy_s;
+       dummy_d_242 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_244;
+reg dummy_d_243;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine7_cmd_payload_cas <= 1'd0;
@@ -9795,12 +9762,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_244 = dummy_s;
+       dummy_d_243 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_245;
+reg dummy_d_244;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine7_cmd_payload_ras <= 1'd0;
@@ -9831,12 +9798,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_245 = dummy_s;
+       dummy_d_244 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_246;
+reg dummy_d_245;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine7_cmd_payload_we <= 1'd0;
@@ -9879,12 +9846,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_246 = dummy_s;
+       dummy_d_245 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_247;
+reg dummy_d_246;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine7_row_col_n_addr_sel <= 1'd0;
@@ -9912,12 +9879,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_247 = dummy_s;
+       dummy_d_246 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_248;
+reg dummy_d_247;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine7_cmd_payload_is_cmd <= 1'd0;
@@ -9949,12 +9916,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_248 = dummy_s;
+       dummy_d_247 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_249;
+reg dummy_d_248;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine7_cmd_payload_is_read <= 1'd0;
@@ -9994,12 +9961,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_249 = dummy_s;
+       dummy_d_248 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_250;
+reg dummy_d_249;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine7_cmd_payload_is_write <= 1'd0;
@@ -10039,12 +10006,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_250 = dummy_s;
+       dummy_d_249 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_251;
+reg dummy_d_250;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine7_req_wdata_ready <= 1'd0;
@@ -10084,12 +10051,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_251 = dummy_s;
+       dummy_d_250 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_252;
+reg dummy_d_251;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine7_req_rdata_valid <= 1'd0;
@@ -10129,12 +10096,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_252 = dummy_s;
+       dummy_d_251 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_253;
+reg dummy_d_252;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine7_refresh_gnt <= 1'd0;
@@ -10162,12 +10129,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_253 = dummy_s;
+       dummy_d_252 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_254;
+reg dummy_d_253;
 // synthesis translate_on
 always @(*) begin
        litedramcore_bankmachine7_cmd_valid <= 1'd0;
@@ -10209,6 +10176,39 @@ always @(*) begin
                        end
                end
        endcase
+// synthesis translate_off
+       dummy_d_253 = dummy_s;
+// synthesis translate_on
+end
+
+// synthesis translate_off
+reg dummy_d_254;
+// synthesis translate_on
+always @(*) begin
+       litedramcore_bankmachine7_row_open <= 1'd0;
+       case (bankmachine7_state)
+               1'd1: begin
+               end
+               2'd2: begin
+               end
+               2'd3: begin
+                       if (litedramcore_bankmachine7_trccon_ready) begin
+                               litedramcore_bankmachine7_row_open <= 1'd1;
+                       end
+               end
+               3'd4: begin
+               end
+               3'd5: begin
+               end
+               3'd6: begin
+               end
+               3'd7: begin
+               end
+               4'd8: begin
+               end
+               default: begin
+               end
+       endcase
 // synthesis translate_off
        dummy_d_254 = dummy_s;
 // synthesis translate_on
@@ -10581,16 +10581,13 @@ end
 reg dummy_d_272;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_choose_req_cmd_ready <= 1'd0;
+       litedramcore_steerer_sel0 <= 2'd0;
        case (multiplexer_state)
                1'd1: begin
-                       if (1'd0) begin
-                               litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed));
-                       end else begin
-                               litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed;
-                       end
+                       litedramcore_steerer_sel0 <= 1'd0;
                end
                2'd2: begin
+                       litedramcore_steerer_sel0 <= 2'd3;
                end
                2'd3: begin
                end
@@ -10609,11 +10606,7 @@ always @(*) begin
                4'd10: begin
                end
                default: begin
-                       if (1'd0) begin
-                               litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed));
-                       end else begin
-                               litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed;
-                       end
+                       litedramcore_steerer_sel0 <= 1'd0;
                end
        endcase
 // synthesis translate_off
@@ -10625,10 +10618,10 @@ end
 reg dummy_d_273;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_en1 <= 1'd0;
+       litedramcore_steerer_sel1 <= 2'd0;
        case (multiplexer_state)
                1'd1: begin
-                       litedramcore_en1 <= 1'd1;
+                       litedramcore_steerer_sel1 <= 1'd0;
                end
                2'd2: begin
                end
@@ -10649,6 +10642,7 @@ always @(*) begin
                4'd10: begin
                end
                default: begin
+                       litedramcore_steerer_sel1 <= 1'd1;
                end
        endcase
 // synthesis translate_off
@@ -10660,13 +10654,12 @@ end
 reg dummy_d_274;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_steerer_sel0 <= 2'd0;
+       litedramcore_steerer_sel2 <= 2'd0;
        case (multiplexer_state)
                1'd1: begin
-                       litedramcore_steerer_sel0 <= 1'd0;
+                       litedramcore_steerer_sel2 <= 1'd1;
                end
                2'd2: begin
-                       litedramcore_steerer_sel0 <= 2'd3;
                end
                2'd3: begin
                end
@@ -10685,7 +10678,7 @@ always @(*) begin
                4'd10: begin
                end
                default: begin
-                       litedramcore_steerer_sel0 <= 1'd0;
+                       litedramcore_steerer_sel2 <= 2'd2;
                end
        endcase
 // synthesis translate_off
@@ -10697,10 +10690,13 @@ end
 reg dummy_d_275;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_steerer_sel1 <= 2'd0;
+       litedramcore_choose_cmd_want_activates <= 1'd0;
        case (multiplexer_state)
                1'd1: begin
-                       litedramcore_steerer_sel1 <= 1'd0;
+                       if (1'd0) begin
+                       end else begin
+                               litedramcore_choose_cmd_want_activates <= litedramcore_ras_allowed;
+                       end
                end
                2'd2: begin
                end
@@ -10721,7 +10717,10 @@ always @(*) begin
                4'd10: begin
                end
                default: begin
-                       litedramcore_steerer_sel1 <= 1'd1;
+                       if (1'd0) begin
+                       end else begin
+                               litedramcore_choose_cmd_want_activates <= litedramcore_ras_allowed;
+                       end
                end
        endcase
 // synthesis translate_off
@@ -10733,10 +10732,10 @@ end
 reg dummy_d_276;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_steerer_sel2 <= 2'd0;
+       litedramcore_steerer_sel3 <= 2'd0;
        case (multiplexer_state)
                1'd1: begin
-                       litedramcore_steerer_sel2 <= 1'd1;
+                       litedramcore_steerer_sel3 <= 2'd2;
                end
                2'd2: begin
                end
@@ -10757,7 +10756,7 @@ always @(*) begin
                4'd10: begin
                end
                default: begin
-                       litedramcore_steerer_sel2 <= 2'd2;
+                       litedramcore_steerer_sel3 <= 1'd0;
                end
        endcase
 // synthesis translate_off
@@ -10769,13 +10768,9 @@ end
 reg dummy_d_277;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_choose_cmd_want_activates <= 1'd0;
+       litedramcore_en0 <= 1'd0;
        case (multiplexer_state)
                1'd1: begin
-                       if (1'd0) begin
-                       end else begin
-                               litedramcore_choose_cmd_want_activates <= litedramcore_ras_allowed;
-                       end
                end
                2'd2: begin
                end
@@ -10796,10 +10791,7 @@ always @(*) begin
                4'd10: begin
                end
                default: begin
-                       if (1'd0) begin
-                       end else begin
-                               litedramcore_choose_cmd_want_activates <= litedramcore_ras_allowed;
-                       end
+                       litedramcore_en0 <= 1'd1;
                end
        endcase
 // synthesis translate_off
@@ -10811,12 +10803,12 @@ end
 reg dummy_d_278;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_steerer_sel3 <= 2'd0;
+       litedramcore_cmd_ready <= 1'd0;
        case (multiplexer_state)
                1'd1: begin
-                       litedramcore_steerer_sel3 <= 2'd2;
                end
                2'd2: begin
+                       litedramcore_cmd_ready <= 1'd1;
                end
                2'd3: begin
                end
@@ -10835,7 +10827,6 @@ always @(*) begin
                4'd10: begin
                end
                default: begin
-                       litedramcore_steerer_sel3 <= 1'd0;
                end
        endcase
 // synthesis translate_off
@@ -10847,9 +10838,13 @@ end
 reg dummy_d_279;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_en0 <= 1'd0;
+       litedramcore_choose_cmd_cmd_ready <= 1'd0;
        case (multiplexer_state)
                1'd1: begin
+                       if (1'd0) begin
+                       end else begin
+                               litedramcore_choose_cmd_cmd_ready <= ((~((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))) | litedramcore_ras_allowed);
+                       end
                end
                2'd2: begin
                end
@@ -10870,7 +10865,10 @@ always @(*) begin
                4'd10: begin
                end
                default: begin
-                       litedramcore_en0 <= 1'd1;
+                       if (1'd0) begin
+                       end else begin
+                               litedramcore_choose_cmd_cmd_ready <= ((~((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))) | litedramcore_ras_allowed);
+                       end
                end
        endcase
 // synthesis translate_off
@@ -10882,12 +10880,11 @@ end
 reg dummy_d_280;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_cmd_ready <= 1'd0;
+       litedramcore_choose_req_want_reads <= 1'd0;
        case (multiplexer_state)
                1'd1: begin
                end
                2'd2: begin
-                       litedramcore_cmd_ready <= 1'd1;
                end
                2'd3: begin
                end
@@ -10906,6 +10903,7 @@ always @(*) begin
                4'd10: begin
                end
                default: begin
+                       litedramcore_choose_req_want_reads <= 1'd1;
                end
        endcase
 // synthesis translate_off
@@ -10917,13 +10915,10 @@ end
 reg dummy_d_281;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_choose_cmd_cmd_ready <= 1'd0;
+       litedramcore_choose_req_want_writes <= 1'd0;
        case (multiplexer_state)
                1'd1: begin
-                       if (1'd0) begin
-                       end else begin
-                               litedramcore_choose_cmd_cmd_ready <= ((~((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))) | litedramcore_ras_allowed);
-                       end
+                       litedramcore_choose_req_want_writes <= 1'd1;
                end
                2'd2: begin
                end
@@ -10944,10 +10939,6 @@ always @(*) begin
                4'd10: begin
                end
                default: begin
-                       if (1'd0) begin
-                       end else begin
-                               litedramcore_choose_cmd_cmd_ready <= ((~((litedramcore_choose_cmd_cmd_payload_ras & (~litedramcore_choose_cmd_cmd_payload_cas)) & (~litedramcore_choose_cmd_cmd_payload_we))) | litedramcore_ras_allowed);
-                       end
                end
        endcase
 // synthesis translate_off
@@ -10959,9 +10950,10 @@ end
 reg dummy_d_282;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_choose_req_want_reads <= 1'd0;
+       litedramcore_en1 <= 1'd0;
        case (multiplexer_state)
                1'd1: begin
+                       litedramcore_en1 <= 1'd1;
                end
                2'd2: begin
                end
@@ -10982,7 +10974,6 @@ always @(*) begin
                4'd10: begin
                end
                default: begin
-                       litedramcore_choose_req_want_reads <= 1'd1;
                end
        endcase
 // synthesis translate_off
@@ -10994,10 +10985,14 @@ end
 reg dummy_d_283;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_choose_req_want_writes <= 1'd0;
+       litedramcore_choose_req_cmd_ready <= 1'd0;
        case (multiplexer_state)
                1'd1: begin
-                       litedramcore_choose_req_want_writes <= 1'd1;
+                       if (1'd0) begin
+                               litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed));
+                       end else begin
+                               litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed;
+                       end
                end
                2'd2: begin
                end
@@ -11018,6 +11013,11 @@ always @(*) begin
                4'd10: begin
                end
                default: begin
+                       if (1'd0) begin
+                               litedramcore_choose_req_cmd_ready <= (litedramcore_cas_allowed & ((~((litedramcore_choose_req_cmd_payload_ras & (~litedramcore_choose_req_cmd_payload_cas)) & (~litedramcore_choose_req_cmd_payload_we))) | litedramcore_ras_allowed));
+                       end else begin
+                               litedramcore_choose_req_cmd_ready <= litedramcore_cas_allowed;
+                       end
                end
        endcase
 // synthesis translate_off
@@ -11072,13 +11072,13 @@ assign user_port_rdata_valid = new_master_rdata_valid8;
 reg dummy_d_284;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_interface_wdata <= 128'd0;
+       litedramcore_interface_wdata_we <= 16'd0;
        case ({new_master_wdata_ready2})
                1'd1: begin
-                       litedramcore_interface_wdata <= user_port_wdata_payload_data;
+                       litedramcore_interface_wdata_we <= user_port_wdata_payload_we;
                end
                default: begin
-                       litedramcore_interface_wdata <= 1'd0;
+                       litedramcore_interface_wdata_we <= 1'd0;
                end
        endcase
 // synthesis translate_off
@@ -11090,13 +11090,13 @@ end
 reg dummy_d_285;
 // synthesis translate_on
 always @(*) begin
-       litedramcore_interface_wdata_we <= 16'd0;
+       litedramcore_interface_wdata <= 128'd0;
        case ({new_master_wdata_ready2})
                1'd1: begin
-                       litedramcore_interface_wdata_we <= user_port_wdata_payload_we;
+                       litedramcore_interface_wdata <= user_port_wdata_payload_data;
                end
                default: begin
-                       litedramcore_interface_wdata_we <= 1'd0;
+                       litedramcore_interface_wdata <= 1'd0;
                end
        endcase
 // synthesis translate_off
@@ -11123,164 +11123,125 @@ assign litedramcore_wishbone_we = wb_bus_we;
 assign litedramcore_wishbone_cti = wb_bus_cti;
 assign litedramcore_wishbone_bte = wb_bus_bte;
 assign wb_bus_err = litedramcore_wishbone_err;
-
-// synthesis translate_off
-reg dummy_d_286;
-// synthesis translate_on
-always @(*) begin
-       csrbank0_sel <= 1'd0;
-       csrbank0_sel <= (interface0_bank_bus_adr[13:9] == 2'd2);
-       if (interface0_bank_bus_adr[0]) begin
-               csrbank0_sel <= 1'd0;
-       end
-// synthesis translate_off
-       dummy_d_286 = dummy_s;
-// synthesis translate_on
-end
+assign csrbank0_sel = (interface0_bank_bus_adr[13:9] == 2'd2);
 assign csrbank0_init_done0_r = interface0_bank_bus_dat_w[0];
-assign csrbank0_init_done0_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[1] == 1'd0));
-assign csrbank0_init_done0_we = ((csrbank0_sel & (~interface0_bank_bus_we)) & (interface0_bank_bus_adr[1] == 1'd0));
+assign csrbank0_init_done0_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[0] == 1'd0));
+assign csrbank0_init_done0_we = ((csrbank0_sel & (~interface0_bank_bus_we)) & (interface0_bank_bus_adr[0] == 1'd0));
 assign csrbank0_init_error0_r = interface0_bank_bus_dat_w[0];
-assign csrbank0_init_error0_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[1] == 1'd1));
-assign csrbank0_init_error0_we = ((csrbank0_sel & (~interface0_bank_bus_we)) & (interface0_bank_bus_adr[1] == 1'd1));
+assign csrbank0_init_error0_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[0] == 1'd1));
+assign csrbank0_init_error0_we = ((csrbank0_sel & (~interface0_bank_bus_we)) & (interface0_bank_bus_adr[0] == 1'd1));
 assign csrbank0_init_done0_w = init_done_storage;
 assign csrbank0_init_error0_w = init_error_storage;
-
-// synthesis translate_off
-reg dummy_d_287;
-// synthesis translate_on
-always @(*) begin
-       csrbank1_sel <= 1'd0;
-       csrbank1_sel <= (interface1_bank_bus_adr[13:9] == 1'd0);
-       if (interface1_bank_bus_adr[0]) begin
-               csrbank1_sel <= 1'd0;
-       end
-// synthesis translate_off
-       dummy_d_287 = dummy_s;
-// synthesis translate_on
-end
+assign csrbank1_sel = (interface1_bank_bus_adr[13:9] == 1'd0);
 assign csrbank1_half_sys8x_taps0_r = interface1_bank_bus_dat_w[4:0];
-assign csrbank1_half_sys8x_taps0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[4:1] == 1'd0));
-assign csrbank1_half_sys8x_taps0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[4:1] == 1'd0));
+assign csrbank1_half_sys8x_taps0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 1'd0));
+assign csrbank1_half_sys8x_taps0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[3:0] == 1'd0));
 assign csrbank1_wlevel_en0_r = interface1_bank_bus_dat_w[0];
-assign csrbank1_wlevel_en0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[4:1] == 1'd1));
-assign csrbank1_wlevel_en0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[4:1] == 1'd1));
+assign csrbank1_wlevel_en0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 1'd1));
+assign csrbank1_wlevel_en0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[3:0] == 1'd1));
 assign a7ddrphy_wlevel_strobe_r = interface1_bank_bus_dat_w[0];
-assign a7ddrphy_wlevel_strobe_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[4:1] == 2'd2));
-assign a7ddrphy_wlevel_strobe_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[4:1] == 2'd2));
+assign a7ddrphy_wlevel_strobe_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 2'd2));
+assign a7ddrphy_wlevel_strobe_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[3:0] == 2'd2));
 assign a7ddrphy_cdly_rst_r = interface1_bank_bus_dat_w[0];
-assign a7ddrphy_cdly_rst_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[4:1] == 2'd3));
-assign a7ddrphy_cdly_rst_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[4:1] == 2'd3));
+assign a7ddrphy_cdly_rst_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 2'd3));
+assign a7ddrphy_cdly_rst_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[3:0] == 2'd3));
 assign a7ddrphy_cdly_inc_r = interface1_bank_bus_dat_w[0];
-assign a7ddrphy_cdly_inc_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[4:1] == 3'd4));
-assign a7ddrphy_cdly_inc_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[4:1] == 3'd4));
+assign a7ddrphy_cdly_inc_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 3'd4));
+assign a7ddrphy_cdly_inc_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[3:0] == 3'd4));
 assign csrbank1_dly_sel0_r = interface1_bank_bus_dat_w[1:0];
-assign csrbank1_dly_sel0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[4:1] == 3'd5));
-assign csrbank1_dly_sel0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[4:1] == 3'd5));
+assign csrbank1_dly_sel0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 3'd5));
+assign csrbank1_dly_sel0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[3:0] == 3'd5));
 assign a7ddrphy_rdly_dq_rst_r = interface1_bank_bus_dat_w[0];
-assign a7ddrphy_rdly_dq_rst_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[4:1] == 3'd6));
-assign a7ddrphy_rdly_dq_rst_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[4:1] == 3'd6));
+assign a7ddrphy_rdly_dq_rst_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 3'd6));
+assign a7ddrphy_rdly_dq_rst_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[3:0] == 3'd6));
 assign a7ddrphy_rdly_dq_inc_r = interface1_bank_bus_dat_w[0];
-assign a7ddrphy_rdly_dq_inc_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[4:1] == 3'd7));
-assign a7ddrphy_rdly_dq_inc_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[4:1] == 3'd7));
+assign a7ddrphy_rdly_dq_inc_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 3'd7));
+assign a7ddrphy_rdly_dq_inc_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[3:0] == 3'd7));
 assign a7ddrphy_rdly_dq_bitslip_rst_r = interface1_bank_bus_dat_w[0];
-assign a7ddrphy_rdly_dq_bitslip_rst_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[4:1] == 4'd8));
-assign a7ddrphy_rdly_dq_bitslip_rst_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[4:1] == 4'd8));
+assign a7ddrphy_rdly_dq_bitslip_rst_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 4'd8));
+assign a7ddrphy_rdly_dq_bitslip_rst_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[3:0] == 4'd8));
 assign a7ddrphy_rdly_dq_bitslip_r = interface1_bank_bus_dat_w[0];
-assign a7ddrphy_rdly_dq_bitslip_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[4:1] == 4'd9));
-assign a7ddrphy_rdly_dq_bitslip_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[4:1] == 4'd9));
+assign a7ddrphy_rdly_dq_bitslip_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[3:0] == 4'd9));
+assign a7ddrphy_rdly_dq_bitslip_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[3:0] == 4'd9));
 assign csrbank1_half_sys8x_taps0_w = a7ddrphy_half_sys8x_taps_storage[4:0];
 assign csrbank1_wlevel_en0_w = a7ddrphy_wlevel_en_storage;
 assign csrbank1_dly_sel0_w = a7ddrphy_dly_sel_storage[1:0];
-
-// synthesis translate_off
-reg dummy_d_288;
-// synthesis translate_on
-always @(*) begin
-       csrbank2_sel <= 1'd0;
-       csrbank2_sel <= (interface2_bank_bus_adr[13:9] == 1'd1);
-       if (interface2_bank_bus_adr[0]) begin
-               csrbank2_sel <= 1'd0;
-       end
-// synthesis translate_off
-       dummy_d_288 = dummy_s;
-// synthesis translate_on
-end
+assign csrbank2_sel = (interface2_bank_bus_adr[13:9] == 1'd1);
 assign csrbank2_dfii_control0_r = interface2_bank_bus_dat_w[3:0];
-assign csrbank2_dfii_control0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 1'd0));
-assign csrbank2_dfii_control0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 1'd0));
+assign csrbank2_dfii_control0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 1'd0));
+assign csrbank2_dfii_control0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 1'd0));
 assign csrbank2_dfii_pi0_command0_r = interface2_bank_bus_dat_w[5:0];
-assign csrbank2_dfii_pi0_command0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 1'd1));
-assign csrbank2_dfii_pi0_command0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 1'd1));
+assign csrbank2_dfii_pi0_command0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 1'd1));
+assign csrbank2_dfii_pi0_command0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 1'd1));
 assign litedramcore_phaseinjector0_command_issue_r = interface2_bank_bus_dat_w[0];
-assign litedramcore_phaseinjector0_command_issue_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 2'd2));
-assign litedramcore_phaseinjector0_command_issue_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 2'd2));
+assign litedramcore_phaseinjector0_command_issue_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 2'd2));
+assign litedramcore_phaseinjector0_command_issue_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 2'd2));
 assign csrbank2_dfii_pi0_address0_r = interface2_bank_bus_dat_w[14:0];
-assign csrbank2_dfii_pi0_address0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 2'd3));
-assign csrbank2_dfii_pi0_address0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 2'd3));
+assign csrbank2_dfii_pi0_address0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 2'd3));
+assign csrbank2_dfii_pi0_address0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 2'd3));
 assign csrbank2_dfii_pi0_baddress0_r = interface2_bank_bus_dat_w[2:0];
-assign csrbank2_dfii_pi0_baddress0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 3'd4));
-assign csrbank2_dfii_pi0_baddress0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 3'd4));
+assign csrbank2_dfii_pi0_baddress0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 3'd4));
+assign csrbank2_dfii_pi0_baddress0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 3'd4));
 assign csrbank2_dfii_pi0_wrdata0_r = interface2_bank_bus_dat_w[31:0];
-assign csrbank2_dfii_pi0_wrdata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 3'd5));
-assign csrbank2_dfii_pi0_wrdata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 3'd5));
+assign csrbank2_dfii_pi0_wrdata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 3'd5));
+assign csrbank2_dfii_pi0_wrdata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 3'd5));
 assign csrbank2_dfii_pi0_rddata_r = interface2_bank_bus_dat_w[31:0];
-assign csrbank2_dfii_pi0_rddata_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 3'd6));
-assign csrbank2_dfii_pi0_rddata_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 3'd6));
+assign csrbank2_dfii_pi0_rddata_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 3'd6));
+assign csrbank2_dfii_pi0_rddata_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 3'd6));
 assign csrbank2_dfii_pi1_command0_r = interface2_bank_bus_dat_w[5:0];
-assign csrbank2_dfii_pi1_command0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 3'd7));
-assign csrbank2_dfii_pi1_command0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 3'd7));
+assign csrbank2_dfii_pi1_command0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 3'd7));
+assign csrbank2_dfii_pi1_command0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 3'd7));
 assign litedramcore_phaseinjector1_command_issue_r = interface2_bank_bus_dat_w[0];
-assign litedramcore_phaseinjector1_command_issue_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 4'd8));
-assign litedramcore_phaseinjector1_command_issue_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 4'd8));
+assign litedramcore_phaseinjector1_command_issue_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 4'd8));
+assign litedramcore_phaseinjector1_command_issue_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 4'd8));
 assign csrbank2_dfii_pi1_address0_r = interface2_bank_bus_dat_w[14:0];
-assign csrbank2_dfii_pi1_address0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 4'd9));
-assign csrbank2_dfii_pi1_address0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 4'd9));
+assign csrbank2_dfii_pi1_address0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 4'd9));
+assign csrbank2_dfii_pi1_address0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 4'd9));
 assign csrbank2_dfii_pi1_baddress0_r = interface2_bank_bus_dat_w[2:0];
-assign csrbank2_dfii_pi1_baddress0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 4'd10));
-assign csrbank2_dfii_pi1_baddress0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 4'd10));
+assign csrbank2_dfii_pi1_baddress0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 4'd10));
+assign csrbank2_dfii_pi1_baddress0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 4'd10));
 assign csrbank2_dfii_pi1_wrdata0_r = interface2_bank_bus_dat_w[31:0];
-assign csrbank2_dfii_pi1_wrdata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 4'd11));
-assign csrbank2_dfii_pi1_wrdata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 4'd11));
+assign csrbank2_dfii_pi1_wrdata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 4'd11));
+assign csrbank2_dfii_pi1_wrdata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 4'd11));
 assign csrbank2_dfii_pi1_rddata_r = interface2_bank_bus_dat_w[31:0];
-assign csrbank2_dfii_pi1_rddata_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 4'd12));
-assign csrbank2_dfii_pi1_rddata_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 4'd12));
+assign csrbank2_dfii_pi1_rddata_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 4'd12));
+assign csrbank2_dfii_pi1_rddata_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 4'd12));
 assign csrbank2_dfii_pi2_command0_r = interface2_bank_bus_dat_w[5:0];
-assign csrbank2_dfii_pi2_command0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 4'd13));
-assign csrbank2_dfii_pi2_command0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 4'd13));
+assign csrbank2_dfii_pi2_command0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 4'd13));
+assign csrbank2_dfii_pi2_command0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 4'd13));
 assign litedramcore_phaseinjector2_command_issue_r = interface2_bank_bus_dat_w[0];
-assign litedramcore_phaseinjector2_command_issue_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 4'd14));
-assign litedramcore_phaseinjector2_command_issue_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 4'd14));
+assign litedramcore_phaseinjector2_command_issue_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 4'd14));
+assign litedramcore_phaseinjector2_command_issue_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 4'd14));
 assign csrbank2_dfii_pi2_address0_r = interface2_bank_bus_dat_w[14:0];
-assign csrbank2_dfii_pi2_address0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 4'd15));
-assign csrbank2_dfii_pi2_address0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 4'd15));
+assign csrbank2_dfii_pi2_address0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 4'd15));
+assign csrbank2_dfii_pi2_address0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 4'd15));
 assign csrbank2_dfii_pi2_baddress0_r = interface2_bank_bus_dat_w[2:0];
-assign csrbank2_dfii_pi2_baddress0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 5'd16));
-assign csrbank2_dfii_pi2_baddress0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 5'd16));
+assign csrbank2_dfii_pi2_baddress0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 5'd16));
+assign csrbank2_dfii_pi2_baddress0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 5'd16));
 assign csrbank2_dfii_pi2_wrdata0_r = interface2_bank_bus_dat_w[31:0];
-assign csrbank2_dfii_pi2_wrdata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 5'd17));
-assign csrbank2_dfii_pi2_wrdata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 5'd17));
+assign csrbank2_dfii_pi2_wrdata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 5'd17));
+assign csrbank2_dfii_pi2_wrdata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 5'd17));
 assign csrbank2_dfii_pi2_rddata_r = interface2_bank_bus_dat_w[31:0];
-assign csrbank2_dfii_pi2_rddata_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 5'd18));
-assign csrbank2_dfii_pi2_rddata_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 5'd18));
+assign csrbank2_dfii_pi2_rddata_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 5'd18));
+assign csrbank2_dfii_pi2_rddata_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 5'd18));
 assign csrbank2_dfii_pi3_command0_r = interface2_bank_bus_dat_w[5:0];
-assign csrbank2_dfii_pi3_command0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 5'd19));
-assign csrbank2_dfii_pi3_command0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 5'd19));
+assign csrbank2_dfii_pi3_command0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 5'd19));
+assign csrbank2_dfii_pi3_command0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 5'd19));
 assign litedramcore_phaseinjector3_command_issue_r = interface2_bank_bus_dat_w[0];
-assign litedramcore_phaseinjector3_command_issue_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 5'd20));
-assign litedramcore_phaseinjector3_command_issue_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 5'd20));
+assign litedramcore_phaseinjector3_command_issue_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 5'd20));
+assign litedramcore_phaseinjector3_command_issue_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 5'd20));
 assign csrbank2_dfii_pi3_address0_r = interface2_bank_bus_dat_w[14:0];
-assign csrbank2_dfii_pi3_address0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 5'd21));
-assign csrbank2_dfii_pi3_address0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 5'd21));
+assign csrbank2_dfii_pi3_address0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 5'd21));
+assign csrbank2_dfii_pi3_address0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 5'd21));
 assign csrbank2_dfii_pi3_baddress0_r = interface2_bank_bus_dat_w[2:0];
-assign csrbank2_dfii_pi3_baddress0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 5'd22));
-assign csrbank2_dfii_pi3_baddress0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 5'd22));
+assign csrbank2_dfii_pi3_baddress0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 5'd22));
+assign csrbank2_dfii_pi3_baddress0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 5'd22));
 assign csrbank2_dfii_pi3_wrdata0_r = interface2_bank_bus_dat_w[31:0];
-assign csrbank2_dfii_pi3_wrdata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 5'd23));
-assign csrbank2_dfii_pi3_wrdata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 5'd23));
+assign csrbank2_dfii_pi3_wrdata0_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 5'd23));
+assign csrbank2_dfii_pi3_wrdata0_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 5'd23));
 assign csrbank2_dfii_pi3_rddata_r = interface2_bank_bus_dat_w[31:0];
-assign csrbank2_dfii_pi3_rddata_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[5:1] == 5'd24));
-assign csrbank2_dfii_pi3_rddata_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[5:1] == 5'd24));
+assign csrbank2_dfii_pi3_rddata_re = ((csrbank2_sel & interface2_bank_bus_we) & (interface2_bank_bus_adr[4:0] == 5'd24));
+assign csrbank2_dfii_pi3_rddata_we = ((csrbank2_sel & (~interface2_bank_bus_we)) & (interface2_bank_bus_adr[4:0] == 5'd24));
 assign csrbank2_dfii_control0_w = litedramcore_storage[3:0];
 assign csrbank2_dfii_pi0_command0_w = litedramcore_phaseinjector0_command_storage[5:0];
 assign csrbank2_dfii_pi0_address0_w = litedramcore_phaseinjector0_address_storage[14:0];
@@ -11322,7 +11283,7 @@ assign interface2_bank_bus_dat_w = dat_w;
 assign dat_r = ((interface0_bank_bus_dat_r | interface1_bank_bus_dat_r) | interface2_bank_bus_dat_r);
 
 // synthesis translate_off
-reg dummy_d_289;
+reg dummy_d_286;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed0 <= 1'd0;
@@ -11353,12 +11314,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_289 = dummy_s;
+       dummy_d_286 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_290;
+reg dummy_d_287;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed1 <= 15'd0;
@@ -11389,12 +11350,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_290 = dummy_s;
+       dummy_d_287 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_291;
+reg dummy_d_288;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed2 <= 3'd0;
@@ -11425,12 +11386,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_291 = dummy_s;
+       dummy_d_288 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_292;
+reg dummy_d_289;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed3 <= 1'd0;
@@ -11461,12 +11422,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_292 = dummy_s;
+       dummy_d_289 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_293;
+reg dummy_d_290;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed4 <= 1'd0;
@@ -11497,12 +11458,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_293 = dummy_s;
+       dummy_d_290 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_294;
+reg dummy_d_291;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed5 <= 1'd0;
@@ -11533,12 +11494,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_294 = dummy_s;
+       dummy_d_291 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_295;
+reg dummy_d_292;
 // synthesis translate_on
 always @(*) begin
        t_array_muxed0 <= 1'd0;
@@ -11569,12 +11530,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_295 = dummy_s;
+       dummy_d_292 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_296;
+reg dummy_d_293;
 // synthesis translate_on
 always @(*) begin
        t_array_muxed1 <= 1'd0;
@@ -11605,12 +11566,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_296 = dummy_s;
+       dummy_d_293 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_297;
+reg dummy_d_294;
 // synthesis translate_on
 always @(*) begin
        t_array_muxed2 <= 1'd0;
@@ -11641,12 +11602,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_297 = dummy_s;
+       dummy_d_294 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_298;
+reg dummy_d_295;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed6 <= 1'd0;
@@ -11677,12 +11638,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_298 = dummy_s;
+       dummy_d_295 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_299;
+reg dummy_d_296;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed7 <= 15'd0;
@@ -11713,12 +11674,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_299 = dummy_s;
+       dummy_d_296 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_300;
+reg dummy_d_297;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed8 <= 3'd0;
@@ -11749,12 +11710,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_300 = dummy_s;
+       dummy_d_297 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_301;
+reg dummy_d_298;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed9 <= 1'd0;
@@ -11785,12 +11746,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_301 = dummy_s;
+       dummy_d_298 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_302;
+reg dummy_d_299;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed10 <= 1'd0;
@@ -11821,12 +11782,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_302 = dummy_s;
+       dummy_d_299 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_303;
+reg dummy_d_300;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed11 <= 1'd0;
@@ -11857,12 +11818,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_303 = dummy_s;
+       dummy_d_300 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_304;
+reg dummy_d_301;
 // synthesis translate_on
 always @(*) begin
        t_array_muxed3 <= 1'd0;
@@ -11893,12 +11854,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_304 = dummy_s;
+       dummy_d_301 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_305;
+reg dummy_d_302;
 // synthesis translate_on
 always @(*) begin
        t_array_muxed4 <= 1'd0;
@@ -11929,12 +11890,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_305 = dummy_s;
+       dummy_d_302 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_306;
+reg dummy_d_303;
 // synthesis translate_on
 always @(*) begin
        t_array_muxed5 <= 1'd0;
@@ -11965,12 +11926,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_306 = dummy_s;
+       dummy_d_303 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_307;
+reg dummy_d_304;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed12 <= 22'd0;
@@ -11980,12 +11941,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_307 = dummy_s;
+       dummy_d_304 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_308;
+reg dummy_d_305;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed13 <= 1'd0;
@@ -11995,12 +11956,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_308 = dummy_s;
+       dummy_d_305 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_309;
+reg dummy_d_306;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed14 <= 1'd0;
@@ -12010,12 +11971,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_309 = dummy_s;
+       dummy_d_306 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_310;
+reg dummy_d_307;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed15 <= 22'd0;
@@ -12025,12 +11986,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_310 = dummy_s;
+       dummy_d_307 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_311;
+reg dummy_d_308;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed16 <= 1'd0;
@@ -12040,12 +12001,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_311 = dummy_s;
+       dummy_d_308 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_312;
+reg dummy_d_309;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed17 <= 1'd0;
@@ -12055,12 +12016,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_312 = dummy_s;
+       dummy_d_309 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_313;
+reg dummy_d_310;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed18 <= 22'd0;
@@ -12070,12 +12031,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_313 = dummy_s;
+       dummy_d_310 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_314;
+reg dummy_d_311;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed19 <= 1'd0;
@@ -12085,12 +12046,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_314 = dummy_s;
+       dummy_d_311 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_315;
+reg dummy_d_312;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed20 <= 1'd0;
@@ -12100,12 +12061,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_315 = dummy_s;
+       dummy_d_312 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_316;
+reg dummy_d_313;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed21 <= 22'd0;
@@ -12115,12 +12076,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_316 = dummy_s;
+       dummy_d_313 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_317;
+reg dummy_d_314;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed22 <= 1'd0;
@@ -12130,12 +12091,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_317 = dummy_s;
+       dummy_d_314 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_318;
+reg dummy_d_315;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed23 <= 1'd0;
@@ -12145,12 +12106,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_318 = dummy_s;
+       dummy_d_315 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_319;
+reg dummy_d_316;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed24 <= 22'd0;
@@ -12160,12 +12121,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_319 = dummy_s;
+       dummy_d_316 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_320;
+reg dummy_d_317;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed25 <= 1'd0;
@@ -12175,12 +12136,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_320 = dummy_s;
+       dummy_d_317 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_321;
+reg dummy_d_318;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed26 <= 1'd0;
@@ -12190,12 +12151,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_321 = dummy_s;
+       dummy_d_318 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_322;
+reg dummy_d_319;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed27 <= 22'd0;
@@ -12205,12 +12166,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_322 = dummy_s;
+       dummy_d_319 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_323;
+reg dummy_d_320;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed28 <= 1'd0;
@@ -12220,12 +12181,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_323 = dummy_s;
+       dummy_d_320 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_324;
+reg dummy_d_321;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed29 <= 1'd0;
@@ -12235,12 +12196,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_324 = dummy_s;
+       dummy_d_321 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_325;
+reg dummy_d_322;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed30 <= 22'd0;
@@ -12250,12 +12211,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_325 = dummy_s;
+       dummy_d_322 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_326;
+reg dummy_d_323;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed31 <= 1'd0;
@@ -12265,12 +12226,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_326 = dummy_s;
+       dummy_d_323 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_327;
+reg dummy_d_324;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed32 <= 1'd0;
@@ -12280,12 +12241,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_327 = dummy_s;
+       dummy_d_324 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_328;
+reg dummy_d_325;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed33 <= 22'd0;
@@ -12295,12 +12256,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_328 = dummy_s;
+       dummy_d_325 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_329;
+reg dummy_d_326;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed34 <= 1'd0;
@@ -12310,12 +12271,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_329 = dummy_s;
+       dummy_d_326 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_330;
+reg dummy_d_327;
 // synthesis translate_on
 always @(*) begin
        rhs_array_muxed35 <= 1'd0;
@@ -12325,12 +12286,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_330 = dummy_s;
+       dummy_d_327 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_331;
+reg dummy_d_328;
 // synthesis translate_on
 always @(*) begin
        array_muxed0 <= 3'd0;
@@ -12349,12 +12310,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_331 = dummy_s;
+       dummy_d_328 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_332;
+reg dummy_d_329;
 // synthesis translate_on
 always @(*) begin
        array_muxed1 <= 15'd0;
@@ -12373,12 +12334,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_332 = dummy_s;
+       dummy_d_329 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_333;
+reg dummy_d_330;
 // synthesis translate_on
 always @(*) begin
        array_muxed2 <= 1'd0;
@@ -12397,12 +12358,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_333 = dummy_s;
+       dummy_d_330 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_334;
+reg dummy_d_331;
 // synthesis translate_on
 always @(*) begin
        array_muxed3 <= 1'd0;
@@ -12421,12 +12382,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_334 = dummy_s;
+       dummy_d_331 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_335;
+reg dummy_d_332;
 // synthesis translate_on
 always @(*) begin
        array_muxed4 <= 1'd0;
@@ -12445,12 +12406,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_335 = dummy_s;
+       dummy_d_332 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_336;
+reg dummy_d_333;
 // synthesis translate_on
 always @(*) begin
        array_muxed5 <= 1'd0;
@@ -12469,12 +12430,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_336 = dummy_s;
+       dummy_d_333 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_337;
+reg dummy_d_334;
 // synthesis translate_on
 always @(*) begin
        array_muxed6 <= 1'd0;
@@ -12493,12 +12454,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_337 = dummy_s;
+       dummy_d_334 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_338;
+reg dummy_d_335;
 // synthesis translate_on
 always @(*) begin
        array_muxed7 <= 3'd0;
@@ -12517,12 +12478,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_338 = dummy_s;
+       dummy_d_335 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_339;
+reg dummy_d_336;
 // synthesis translate_on
 always @(*) begin
        array_muxed8 <= 15'd0;
@@ -12541,12 +12502,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_339 = dummy_s;
+       dummy_d_336 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_340;
+reg dummy_d_337;
 // synthesis translate_on
 always @(*) begin
        array_muxed9 <= 1'd0;
@@ -12565,12 +12526,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_340 = dummy_s;
+       dummy_d_337 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_341;
+reg dummy_d_338;
 // synthesis translate_on
 always @(*) begin
        array_muxed10 <= 1'd0;
@@ -12589,12 +12550,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_341 = dummy_s;
+       dummy_d_338 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_342;
+reg dummy_d_339;
 // synthesis translate_on
 always @(*) begin
        array_muxed11 <= 1'd0;
@@ -12613,12 +12574,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_342 = dummy_s;
+       dummy_d_339 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_343;
+reg dummy_d_340;
 // synthesis translate_on
 always @(*) begin
        array_muxed12 <= 1'd0;
@@ -12637,12 +12598,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_343 = dummy_s;
+       dummy_d_340 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_344;
+reg dummy_d_341;
 // synthesis translate_on
 always @(*) begin
        array_muxed13 <= 1'd0;
@@ -12661,12 +12622,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_344 = dummy_s;
+       dummy_d_341 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_345;
+reg dummy_d_342;
 // synthesis translate_on
 always @(*) begin
        array_muxed14 <= 3'd0;
@@ -12685,12 +12646,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_345 = dummy_s;
+       dummy_d_342 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_346;
+reg dummy_d_343;
 // synthesis translate_on
 always @(*) begin
        array_muxed15 <= 15'd0;
@@ -12709,12 +12670,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_346 = dummy_s;
+       dummy_d_343 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_347;
+reg dummy_d_344;
 // synthesis translate_on
 always @(*) begin
        array_muxed16 <= 1'd0;
@@ -12733,12 +12694,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_347 = dummy_s;
+       dummy_d_344 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_348;
+reg dummy_d_345;
 // synthesis translate_on
 always @(*) begin
        array_muxed17 <= 1'd0;
@@ -12757,12 +12718,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_348 = dummy_s;
+       dummy_d_345 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_349;
+reg dummy_d_346;
 // synthesis translate_on
 always @(*) begin
        array_muxed18 <= 1'd0;
@@ -12781,12 +12742,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_349 = dummy_s;
+       dummy_d_346 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_350;
+reg dummy_d_347;
 // synthesis translate_on
 always @(*) begin
        array_muxed19 <= 1'd0;
@@ -12805,12 +12766,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_350 = dummy_s;
+       dummy_d_347 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_351;
+reg dummy_d_348;
 // synthesis translate_on
 always @(*) begin
        array_muxed20 <= 1'd0;
@@ -12829,12 +12790,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_351 = dummy_s;
+       dummy_d_348 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_352;
+reg dummy_d_349;
 // synthesis translate_on
 always @(*) begin
        array_muxed21 <= 3'd0;
@@ -12853,12 +12814,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_352 = dummy_s;
+       dummy_d_349 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_353;
+reg dummy_d_350;
 // synthesis translate_on
 always @(*) begin
        array_muxed22 <= 15'd0;
@@ -12877,12 +12838,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_353 = dummy_s;
+       dummy_d_350 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_354;
+reg dummy_d_351;
 // synthesis translate_on
 always @(*) begin
        array_muxed23 <= 1'd0;
@@ -12901,12 +12862,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_354 = dummy_s;
+       dummy_d_351 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_355;
+reg dummy_d_352;
 // synthesis translate_on
 always @(*) begin
        array_muxed24 <= 1'd0;
@@ -12925,12 +12886,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_355 = dummy_s;
+       dummy_d_352 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_356;
+reg dummy_d_353;
 // synthesis translate_on
 always @(*) begin
        array_muxed25 <= 1'd0;
@@ -12949,12 +12910,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_356 = dummy_s;
+       dummy_d_353 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_357;
+reg dummy_d_354;
 // synthesis translate_on
 always @(*) begin
        array_muxed26 <= 1'd0;
@@ -12973,12 +12934,12 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_357 = dummy_s;
+       dummy_d_354 = dummy_s;
 // synthesis translate_on
 end
 
 // synthesis translate_off
-reg dummy_d_358;
+reg dummy_d_355;
 // synthesis translate_on
 always @(*) begin
        array_muxed27 <= 1'd0;
@@ -12997,7 +12958,7 @@ always @(*) begin
                end
        endcase
 // synthesis translate_off
-       dummy_d_358 = dummy_s;
+       dummy_d_355 = dummy_s;
 // synthesis translate_on
 end
 assign xilinxasyncresetsynchronizerimpl0 = ((~sys_pll_locked) | sys_pll_reset);
@@ -14447,7 +14408,7 @@ always @(posedge sys_clk) begin
        new_master_rdata_valid8 <= new_master_rdata_valid7;
        interface0_bank_bus_dat_r <= 1'd0;
        if (csrbank0_sel) begin
-               case (interface0_bank_bus_adr[1])
+               case (interface0_bank_bus_adr[0])
                        1'd0: begin
                                interface0_bank_bus_dat_r <= csrbank0_init_done0_w;
                        end
@@ -14466,7 +14427,7 @@ always @(posedge sys_clk) begin
        init_error_re <= csrbank0_init_error0_re;
        interface1_bank_bus_dat_r <= 1'd0;
        if (csrbank1_sel) begin
-               case (interface1_bank_bus_adr[4:1])
+               case (interface1_bank_bus_adr[3:0])
                        1'd0: begin
                                interface1_bank_bus_dat_r <= csrbank1_half_sys8x_taps0_w;
                        end
@@ -14513,7 +14474,7 @@ always @(posedge sys_clk) begin
        a7ddrphy_dly_sel_re <= csrbank1_dly_sel0_re;
        interface2_bank_bus_dat_r <= 1'd0;
        if (csrbank2_sel) begin
-               case (interface2_bank_bus_adr[5:1])
+               case (interface2_bank_bus_adr[4:0])
                        1'd0: begin
                                interface2_bank_bus_dat_r <= csrbank2_dfii_control0_w;
                        end
index e9a379c3a6dba5b5af070c28053a5dc1b4ad7f6f..7abaf48068ace8aaf313901da49a9ef79c4602f8 100644 (file)
@@ -48,7 +48,6 @@ architecture rtl of dram_init_mem is
 
     impure function init_load_ram(name : string) return ram_t is
         file ram_file : text open read_mode is name;
-        file payload_file : text open read_mode is EXTRA_PAYLOAD_FILE;
         variable temp_word : std_logic_vector(63 downto 0);
         variable temp_ram : ram_t := (others => (others => '0'));
         variable ram_line : line;
@@ -66,7 +65,7 @@ architecture rtl of dram_init_mem is
             temp_ram(i*2+1) := temp_word(63 downto 32);
         end loop;
         if RND_PAYLOAD_SIZE /= 0 then
-            procedure init_load_payload(ram: inout ram_t; filename: string) is
+            init_load_payload(temp_ram, EXTRA_PAYLOAD_FILE);
         end if;
         return temp_ram;
     end function;
index bb13c9dc255834af0e7966ef6cd5e54f3892c867..5dd2a8459eb852a402595ddf54af2699ec705c4b 100644 (file)
@@ -510,7 +510,7 @@ a64b5a7d14004a39
 0000000000000000
 0000000000000000
 0000000000000000
-384297003c4c0001
+384296003c4c0001
 fbc1fff07c0802a6
 f8010010fbe1fff8
 3be10020f821fe91
@@ -519,11 +519,11 @@ f8c101a838800140
 38c101987c651b78
 7fe3fb78f8e101b0
 f92101c0f90101b8
-48000dc1f94101c8
+48000d1df94101c8
 7c7e1b7860000000
-480008d97fe3fb78
+480008357fe3fb78
 3821017060000000
-480013807fc3f378
+480012dc7fc3f378
 0100000000000000
 4e80002000000280
 0000000000000000
@@ -531,625 +531,605 @@ f92101c0f90101b8
 4e8000204c00012c
 0000000000000000
 3c4c000100000000
-7c0802a63842965c
+7c0802a63842955c
 7d800026fbe1fff8
 91810008f8010010
-480007cdf821ff91
+48000729f821ff91
 3c62ffff60000000
-4bffff3538637d00
+4bffff3538637d60
 548400023880ffff
 7c8026ea7c0004ac
 3fe0c0003c62ffff
-63ff000838637d20
+63ff000838637d80
 3c62ffff4bffff11
-38637d407bff0020
+38637da07bff0020
 7c0004ac4bffff01
 73e900017fe0feea
 3c62ffff41820010
-4bfffee538637d58
+4bfffee538637db8
 4d80000073e90002
 3c62ffff41820010
-4bfffecd38637d60
+4bfffecd38637dc0
 4e00000073e90004
 3c62ffff41820010
-4bfffeb538637d68
-3bff7ff83fe2ffff
+4bfffeb538637dc8
+3bff7fc03fe2ffff
 4bfffea57fe3fb78
 3c80c00041920028
 7884002060840010
 7c8026ea7c0004ac
 7884b2823c62ffff
-4bfffe7d38637d70
+4bfffe7d38637dd0
 3c80c000418e004c
 7884002060840018
 7c8026ea7c0004ac
 788465023c62ffff
-4bfffe5538637d90
+4bfffe5538637df0
 608400303c80c000
 7c0004ac78840020
 3c62ffff7c8026ea
-38637db07884b282
+38637e107884b282
 3d20c0004bfffe31
 7929002061290020
 7d204eea7c0004ac
 3c62ffff3c80000f
-38637dd060844240
+38637e3060844240
 4bfffe057c892392
 4bfffdfd7fe3fb78
 3ca2ffff418e0028
 3c62ffff3c82ffff
-38847e0038a57df0
-4bfffddd38637e08
-60000000480004d9
+38847e6038a57e50
+4bfffddd38637e68
+6000000048000455
 3c62ffff41920020
-4bfffdc538637e38
+4bfffdc538637e98
 8181000838210070
-4800119c7d818120
-38637e503c62ffff
+480010f87d818120
+38637eb03c62ffff
 3c80f0004bfffda9
 6084400038a0ffff
 7884002054a50422
-480008713c604000
+480007cd3c604000
 3c62ffff60000000
-4bfffd7d38637e70
+4bfffd7d38637ed0
 e801001038210070
 ebe1fff881810008
 7d8181207c0803a6
 000000004bfffde4
 0000018003000000
-612908083d20c010
+7869c0223d40c010
+794a0020614a080c
+7d20572a7c0004ac
+612908103d20c010
 7c0004ac79290020
-3d40c0107c604f2a
-614a081039200001
-7c0004ac794a0020
-4e8000207d20572a
+4e8000207c604f2a
 0000000000000000
-3c4c000100000000
-7c0802a63842940c
-614a08003d40c010
-794a00203920000e
-f821ffa1f8010010
-7d20572a7c0004ac
-3862801860000000
-600000004bfffce1
-e801001038210060
-4e8000207c0803a6
-0100000000000000
-3c4c000100000080
-7c0802a6384293b4
-614a08003d40c010
-794a002039200001
-f821ffa1f8010010
+3d20c01000000000
+7929002061290804
+7c604f2a7c0004ac
+392000013d40c010
+794a0020614a0808
 7d20572a7c0004ac
-38637fd83c62ffff
-600000004bfffc89
-e801001038210060
-4e8000207c0803a6
-0100000000000000
-3c4c000100000080
-7c0802a63842935c
-38637e883c62ffff
-f821ff7148000fc5
-600000004bfffc49
-3d40aaaa39000080
-3d2040007d0903a6
-91490000614aaaaa
-4200fff839290004
-4bfffc913f60aaaa
-3fa0aaaa60000000
-637baaaa3f82ffff
-3be000003bc00000
-3b9c7e9863bdaaaa
-3d3e10007b7b0020
-792917647fc407b4
-7f85e80080a90000
-3bff0001419e001c
-7f83e3787f66db78
-4bfffbcd7fff07b4
-3bde000160000000
-409effc82bbe0080
+000000004e800020
+0000000000000000
+384292d03c4c0001
+3d40c0107c0802a6
+39200001614a0800
+f8010010794a0020
+7c0004acf821ffa1
+3c62ffff7d20572a
+4bfffca538637fa0
+3821006060000000
+7c0803a6e8010010
+000000004e800020
+0000008001000000
+384292783c4c0001
+390000807c0802a6
+3d40aaaa7d0903a6
+614aaaaa3d204000
+f821ff8148000f39
+3929000491490000
+4bfffcc14200fff8
+3940008060000000
+7d4903a63d00aaaa
+3be000003d204000
+814900006108aaaa
+419e000c7f8a4000
+7fff07b43bff0001
+4200ffe839290004
 3d40555539000080
 3d2040007d0903a6
 91490000614a5555
 4200fff839290004
-600000004bfffc0d
-3f82ffff3fa05555
-3bc000003f605555
-3b9c7eb863bd5555
-3d3e1000637b5555
-792917647fc407b4
-7f85e80080a90000
-3bff0001419e001c
-7f83e3787f66db78
-4bfffb4d7fff07b4
-3bde000160000000
-409effc82bbe0080
+600000004bfffc65
+3d00555539400080
+3d2040007d4903a6
+8149000061085555
+419e000c7f8a4000
+7fff07b43bff0001
+4200ffe839290004
 419e001c2fbf0000
 38a001003c62ffff
-38637ed87fe4fb78
-600000004bfffb21
-3fc2ffff3c62ffff
-3bde7f1838637f00
-600000004bfffb09
-3d20400039400100
-390000017d4903a6
-3929000439480001
-9149fffc79480020
-4bfffb514200fff0
-3940010060000000
-7d4903a639200000
-3d09100038c00001
-7908176439460001
-794600207d2407b4
-7f8a284080a80000
-7fc3f378419e0014
-600000004bfffaa1
-392900014bffff98
-3c62ffff4200ffcc
-4bfffa8538637f38
+38637ee87fe4fb78
+600000004bfffba1
+3ce0802039000100
+60e700037d0903a6
+392000013d404000
+7928f84278e70020
+7d2900d0792907e0
+7d293838394a0004
+912afffc7d294278
+4bfffbd14200ffe4
+3900010060000000
+7d0903a63ce08020
+3d40400060e70003
+392000013ba00000
+7928f84278e70020
+7d2900d0792907e0
+7d2942787d293838
+7f884840810a0000
+3bbd0001419e000c
+394a00047fbd07b4
+2fbd00004200ffd4
+3c62ffff419e001c
+7fa4eb7838a00100
+4bfffaed38637f10
 3920002060000000
 7d2903a639400000
 794800203d2a1000
 394a000139290002
 9109000079291764
-4bfffac94200ffe8
-3f82ffff60000000
-3bc000003ba00000
-3d3d10003b9c7f50
-792917647fa607b4
-5529043e81290008
-7d2507b47f893000
-3bde0001419e001c
-7f83e3787cc43378
-4bfffa0d7fde07b4
-3bbd000160000000
-409effc02bbd0020
-419e001c2fbe0000
-38a000203c62ffff
-38637f707fc4f378
-600000004bfff9e1
-386000007ffff214
-409e00b02f9f0000
-38637f983c62ffff
-600000004bfff9c1
-394001007c9602a6
+4bfffb314200ffe8
+3920002060000000
+7d2903a639400000
+3d2a10003bc00000
+8129000879291764
+7f8950005529043e
+3bde0001419e000c
+394a00017fde07b4
+2fbe00004200ffdc
+3c62ffff419e001c
+7fc4f37838a00020
+4bfffa6538637f38
+7fffea1460000000
+7ffff21438600000
+409e00ac2f9f0000
+38637f603c62ffff
+600000004bfffa41
+394000807c9602a6
 7d4903a678840020
-3d49100039200000
-794a176479280020
-910a000039290001
-7ff602a64200ffec
-3fe0000c7c9f2050
-7fff239663ff8000
-600000004bfff9ed
-7d3602a67bff0020
-7929002039000100
-3d4040007d0903a6
-394a0004810a0000
-7cb602a64200fff8
-3ca0000c7d254850
-3c62ffff60a58000
-7fe4fb787ca54b96
-78a5032038637fa8
-600000004bfff929
-3821009038600001
-0000000048000cdc
-0000058001000000
-384290003c4c0001
-600000007c0802a6
-48000c6538628000
-3f60c010f821ff71
-637b10003be00000
-4bfff8dd7b7b0020
-7c0004ac60000000
-3f40c0107fe0df2a
-7b5a0020635a1008
-7fe0d72a7c0004ac
-4bfffba93fa0c010
-7bbd002063bd0818
-7fe0ef2a7c0004ac
-63de08203fc0c010
-7c0004ac7bde0020
-3d20c0107fe0f72a
-612908003940000c
-7c0004ac79290020
-7c0004ac7d404f2a
-7c0004ac7fe0ef2a
-3940000e7fe0f72a
-7d404f2a7c0004ac
-7c0004ac39200200
-392000027d20ef2a
-7d20f72a7c0004ac
-4bfffaed3860000f
-7fe0ef2a7c0004ac
-7c0004ac39200003
-3860000f7d20f72a
-392000064bfffad1
-7d20ef2a7c0004ac
-7c0004ac3b800001
-3860000f7f80f72a
-392009204bfffab1
+3d49080039200000
+f92a0000794a1f24
+4200fff039290001
+7c9f20507ff602a6
+63ff80003fe0000c
+4bfffa717fff2396
+7bff002060000000
+390000807d3602a6
+7d0903a679290020
+e90a00003d404000
+4200fff8394a0008
+7d2548507cb602a6
+60a580003ca0000c
+7ca54b963c62ffff
+38637f707fe4fb78
+4bfff9ad78a50320
+3860000160000000
+48000cc438210080
+0100000000000000
+3c4c000100000380
+7c0802a638428f84
+38637fc83c62ffff
+f821ff7148000c49
+3bc000003f80c010
+7b9c0020639c1000
+600000004bfff961
+7fc0e72a7c0004ac
+637b10043f60c010
+7c0004ac7b7b0020
+3fe0c0107fc0df2a
+63ff081438600000
+7bff00204bfffbe1
+7fc0ff2a7c0004ac
+3920000c3fa0c010
+7bbd002063bd0800
 7d20ef2a7c0004ac
-7fe0f72a7c0004ac
-4bfffa953860000f
-7c0004ac39200400
-7c0004ac7d20ef2a
-386000037fe0f72a
-4bfffb114bfffa79
-2c2300004bfffb65
-7c0004ac4082001c
-7c0004ac7f80df2a
-382100907f80d72a
-7c0004ac48000b60
-386000017f80df2a
-000000004bffffec
-0000068001000000
-38428e783c4c0001
-600000003d20c000
-7929002061292000
-3d20c000f9228090
-7929002061290020
+4bfffbb538600000
+7fc0ff2a7c0004ac
+7c0004ac3920000e
+386002007d20ef2a
+392000024bfffb99
+7d20ff2a7c0004ac
+4bfffbc13860000f
+4bfffb7d38600000
+7c0004ac39200003
+3860000f7d20ff2a
+4bfffba13ba00001
+4bfffb5d38600006
+7fa0ff2a7c0004ac
+4bfffb893860000f
+4bfffb4538600920
+7fc0ff2a7c0004ac
+4bfffb713860000f
+4bfffb2d38600400
+7fc0ff2a7c0004ac
+4bfffb5938600003
+4bfffbed4bfffb99
+4082001c2c230000
+7fa0e72a7c0004ac
+7fa0df2a7c0004ac
+48000b6438210090
+7fa0e72a7c0004ac
+4bffffec38600001
+0100000000000000
+3c4c000100000580
+3d20c00038428e1c
+6129200060000000
+f922803079290020
+612900203d20c000
+7c0004ac79290020
+3d40001c7d204eea
+7d295392614a2000
+394a0018e9428030
+7c0004ac3929ffff
+4e8000207d2057ea
+0000000000000000
+3c4c000100000000
+6000000038428dbc
+39290010e9228030
 7d204eea7c0004ac
-614a20003d40001c
-e94280907d295392
-3929ffff394a0018
+4082ffe871290008
+e94280305469063e
 7d2057ea7c0004ac
 000000004e800020
 0000000000000000
-38428e183c4c0001
-e922809060000000
-7c0004ac39290010
-712900087d204eea
-5469063e4082ffe8
-7c0004ace9428090
-4e8000207d2057ea
-0000000000000000
-3c4c000100000000
-7c0802a638428dd4
-fbe1fff8fbc1fff0
-f80100103bc3ffff
-8ffe0001f821ffd1
-409e00102fbf0000
-3860000038210030
-2b9f000a48000a8c
-3860000d409e000c
-7fe3fb784bffff81
-4bffffd04bffff79
-0100000000000000
-2c24000000000280
-3881fff040820008
-f86400002b850024
-4d9d002038600000
-78c683e43cc00001
-e924000060c62600
-2b8a002089490000
-7cc75436419d002c
-4082001470e80001
-409e00542fa50000
-4800005c38a0000a
-f924000039290001
-2fa500004bffffcc
-2b8a0030409e0038
-409e003c38a0000a
-2f8a007889490001
-89490001409e0030
-2f8a007838a00010
-39290002409e0020
-48000014f9240000
-409e000c2f850010
-419effd82b8a0030
-4800003038600000
-54ca063e38c9ffd0
-419d00342b8a0009
-7f8928007cc90734
-38e700014c9c0020
-f8e400007c6519d2
-e8e400007c691a14
-2fa9000089270000
-4e800020409effc8
-554a063e3949ff9f
-419d00102b8a0019
-7d2907343929ffa9
-3949ffbf4bffffbc
+38428d783c4c0001
+fbc1fff07c0802a6
+3bc3fffffbe1fff8
+f821ffd1f8010010
+2fbf00008ffe0001
+38210030409e0010
+48000a8c38600000
+409e000c2b9f000a
+4bffff813860000d
+4bffff797fe3fb78
+000000004bffffd0
+0000028001000000
+408200082c240000
+2b8500243881fff0
+38600000f8640000
+3cc000014d9d0020
+60c6260078c683e4
+89490000e9240000
+419d002c2b8a0020
+70e800017cc75436
+2fa5000040820014
+38a0000a409e0054
+392900014800005c
+4bffffccf9240000
+409e00382fa50000
+38a0000a2b8a0030
+89490001409e003c
+409e00302f8a0078
+38a0001089490001
+409e00202f8a0078
+f924000039290002
+2f85001048000014
+2b8a0030409e000c
+38600000419effd8
+38c9ffd048000030
+2b8a000954ca063e
+7cc90734419d0034
+4c9c00207f892800
+7c6519d238e70001
+7c691a14f8e40000
+89270000e8e40000
+409effc82fa90000
+3949ff9f4e800020
 2b8a0019554a063e
-3929ffc94d9d0020
-000000004bffffe4
-0000000000000000
-7d4348ae39200000
-409e000c2f8a0000
-4e8000207d234b78
-4bffffe839290001
-0000000000000000
-78aae8c200000000
-392a000139000000
-420000307d2903a6
-792a1f2478a9e8c2
-7d0352141d29fff8
-7ca92a147c845214
-3945000139200000
-420000187d4903a6
-7d24402a4e800020
-390800087d23412a
-7d4448ae4bffffc4
-392900017d4849ae
-000000004bffffdc
-0000000000000000
-2b8900193923ff9f
-3863ffe04d9d0020
-4e8000207c6307b4
+3929ffa9419d0010
+4bffffbc7d290734
+554a063e3949ffbf
+4d9d00202b8a0019
+4bffffe43929ffc9
+0000000000000000
+3920000000000000
+2f8a00007d4348ae
+7d234b78409e000c
+392900014e800020
+000000004bffffe8
+0000000000000000
+3900000078aae8c2
+7d2903a6392a0001
+78a9e8c242000030
+1d29fff8792a1f24
+7c8452147d035214
+392000007ca92a14
+7d4903a639450001
+4e80002042000018
+7d23412a7d24402a
+4bffffc439080008
+7d4849ae7d4448ae
+4bffffdc39290001
+0000000000000000
+3923ff9f00000000
+4d9d00202b890019
+7c6307b43863ffe0
+000000004e800020
 0000000000000000
-3c4c000100000000
-7c0802a638428b84
-7d9080263d203736
-792907c661293534
-9181000865293332
-480007d961293130
-7c7d1b78f821ffa1
-3be000007cde3378
-3d206665f9210020
-792907c661296463
-6129393865296261
-7ca92b78f9210028
-409e00802fa90000
-409e00082fbf0000
-7fbf20403be00001
-419d005838600000
-3b9fffff2e270000
-7d3bf1d27f65f392
-7ca12a147ca92850
-4192001088650020
-600000004bffff41
-2fbb00005463063e
-7f65db78e93d0000
-3b9cffff7c69e1ae
-e93d0000409effc8
-7fe9fa1438600001
-38210060fbfd0000
-7d90812081810008
-2b9e001048000774
-7929e102409e0014
-7fff07b43bff0001
-7d29f3924bffff68
-000000004bfffff0
-0000058003000000
-38428a783c4c0001
-480006e97c0802a6
-eb630000f821ffb1
-7c9c23787c7f1b78
-3bc000007cbd2b78
-4bfffe0d7fa3eb78
-7fa3f04060000000
-e95f0000409d0014
-7fa9e0407d3b5050
-38210050419c0010
-480006f038600001
-3bde00017d3df0ae
-e93f0000992a0000
-f93f000039290001
-000000004bffffb8
-0000058001000000
-384289f83c4c0001
-480006617c0802a6
-7c7d1b78f821ffa1
-7ca32b787c9b2378
-38a0000a38800000
-eb5d00007cde3378
-7d1943787cfc3b78
-4bfffc497d3f4b78
-3940000060000000
-2fbe00007c6307b4
-2faa0000409e006c
-39400001409e0008
-7f8348007d3f5214
-409d00447d2a07b4
-2f8300007c6a1850
-3929000178690020
-3d408000419c0010
-409e00087f835000
-2c29000139200001
-418200143929ffff
-7d5a3850e8fd0000
-419c00307faad840
-3860000038210060
-2b9c001048000604
-7bdee102409e0014
-7d4a07b4394a0001
-7fdee3924bffff7c
-9b2700004bfffff0
-394a0001e95d0000
-4bffffa8f95d0000
+38428b283c4c0001
+3d2037367c0802a6
+612935347d908026
+65293332792907c6
+6129313091810008
+f821ffa1480007d9
+7cde33787c7d1b78
+f92100203be00000
+612964633d206665
+65296261792907c6
+f921002861293938
+2fa900007ca92b78
+2fbf0000409e0080
+3be00001409e0008
+386000007fbf2040
+2e270000419d0058
+7f65f3923b9fffff
+7ca928507d3bf1d2
+886500207ca12a14
+4bffff4141920010
+5463063e60000000
+e93d00002fbb0000
+7c69e1ae7f65db78
+409effc83b9cffff
+38600001e93d0000
+fbfd00007fe9fa14
+8181000838210060
+480007747d908120
+409e00142b9e0010
+3bff00017929e102
+4bffff687fff07b4
+4bfffff07d29f392
+0300000000000000
+3c4c000100000580
+7c0802a638428a1c
+f821ffb1480006e9
+7c7f1b78eb630000
+7cbd2b787c9c2378
+7fa3eb783bc00000
+600000004bfffe0d
+409d00147fa3f040
+7d3b5050e95f0000
+419c00107fa9e040
+3860000138210050
+7d3df0ae480006f0
+992a00003bde0001
+39290001e93f0000
+4bffffb8f93f0000
 0100000000000000
-3c4c000100000780
-7c0802a6384288fc
-f821fed148000539
-f86100607c741b79
-4182006838600000
-419e00602fa40000
-6000000039210040
-3b4100203ac4ffff
-60000000f9210070
-392280883ae00000
-3ba100603a428040
-89250000f9210078
-2fa90000ebc10060
-7ff4f050419e0010
-419c00207fbfb040
-993e000039200000
-7e941850e8610060
-382101307e8307b4
-2b89002548000508
-409e048839450001
-8925000038e00000
-f8a10068e9010070
-7d2741ae7cea07b4
-8d25000139070001
-2b8900647d0807b4
-2b890069419e0058
-2b890075419e0050
-2b890078419e0048
-2b890058419e0040
-2b890070419e0038
-2b890063419e0030
-2b890073419e0028
-2b890025419e0020
-2b89004f419e0018
-2b89006f419e0010
-409eff8838e70001
-2b890025394a0002
-7d1a42147d4a07b4
-992800207d5a5214
-409e00209aea0020
-f9210060393e0001
-993e000039200025
-38a90002e9210068
-892100414bffff04
-3a2600087fffb050
-3a600030eb660000
-3929ffd23b010042
-4082039c712900fd
-3b2000043aa00000
-3a0000013b800000
-7ddb00d039e0002d
-2b89006c48000108
-88f8000138d80001
-419d0118419e033c
-419e02402b890063
-2b89004f419d0038
-2b890058419e01e8
-3949ffd0419e0188
-2b8a0009554a063e
-395c0001419d00c4
-993c00207f81e214
-480000b0795c0020
-419e03042b890068
-419e000c2b890069
-409effc82b890064
-7d41e2142b890075
-7f6adb789aea0020
-57291838419e0034
-7e0948363929ffff
-418200207f694839
-e921006099e80000
-f921006039290001
-7d52482a7b291f24
-e88100607dca5038
-38e0000a7d465378
-7f45d378f9410080
-7e689b7839200000
-7c9e20507fa3eb78
-4bfffc9d7c84f850
-e9410080e8810060
-38c0000a7ea7ab78
-7d4553787c9e2050
-7fa3eb787c84f850
-3b1800014bfffaed
-e901006089380000
+3c4c000100000580
+7c0802a63842899c
+f821ffa148000661
+7c9b23787c7d1b78
+388000007ca32b78
+7cde337838a0000a
+7cfc3b78eb5d0000
+7d3f4b787d194378
+600000004bfffc49
+7c6307b439400000
+409e006c2fbe0000
+409e00082faa0000
+7d3f521439400001
+7d2a07b47f834800
+7c6a1850409d0044
+786900202f830000
+419c001039290001
+7f8350003d408000
+39200001409e0008
+3929ffff2c290001
+e8fd000041820014
+7faad8407d5a3850
+38210060419c0030
+4800060438600000
+409e00142b9c0010
+394a00017bdee102
+4bffff7c7d4a07b4
+4bfffff07fdee392
+e95d00009b270000
+f95d0000394a0001
+000000004bffffa8
+0000078001000000
+384288a03c4c0001
+480005397c0802a6
+7c741b79f821fed1
+38600000f8610060
+2fa4000041820068
+39210040419e0060
+3ac4ffff3e42ffff
+f92100703b410020
+3ae0000060000000
+3a527fe039228028
+f92100783ba10060
+ebc1006089250000
 419e00102fa90000
-7fbf50407d5e4050
-7e268b78419dfee4
-2b8900734bfffe90
-419d006c419e016c
-419e00d42b89006f
-409efef02b890070
-38e000107d21e214
-7c8af8507f66db78
-390000209ae90020
-7f45d37839200002
-4bfffc0d7fa3eb78
-e8a10078e8810060
-7c9e20507fa3eb78
-4bfffb757c84f850
-7ea7ab78e8810060
-7f65db7838c00010
-4bffff5c7c9e2050
-419e00182b890078
-419e01cc2b89007a
-4bfffeb82b890075
-7d21e2143aa00001
-7c8af85038e00010
-9ae900207e689b78
-7f45d3787b291f24
-7d72482a7fa3eb78
-7f6b583839200000
-f96100807d665b78
-e88100604bfffb89
+7fbfb0407ff4f050
+39200000419c0020
+e8610060993e0000
+7e8307b47e941850
+4800050838210130
+394500012b890025
+38e00000409e0488
+e901007089250000
+7cea07b4f8a10068
+390700017d2741ae
+7d0807b48d250001
+419e00582b890064
+419e00502b890069
+419e00482b890075
+419e00402b890078
+419e00382b890058
+419e00302b890070
+419e00282b890063
+419e00202b890073
+419e00182b890025
+419e00102b89004f
+38e700012b89006f
+394a0002409eff88
+7d4a07b42b890025
+7d5a52147d1a4214
+9aea002099280020
+393e0001409e0020
+39200025f9210060
+e9210068993e0000
+4bffff0438a90002
+7fffb05089210041
+eb6600003a260008
+3b0100423a600030
+712900fd3929ffd2
+3aa000004082039c
+3b8000003b200004
+39e0002d3a000001
+480001087ddb00d0
+38d800012b89006c
+419e033c88f80001
+2b890063419d0118
+419d0038419e0240
+419e01e82b89004f
+419e01882b890058
+554a063e3949ffd0
+419d00c42b8a0009
+7f81e214395c0001
+795c0020993c0020
+2b890068480000b0
+2b890069419e0304
+2b890064419e000c
+2b890075409effc8
+9aea00207d41e214
+419e00347f6adb78
+3929ffff57291838
+7f6948397e094836
+99e8000041820020
+39290001e9210060
+7b291f24f9210060
+7dca50387d52482a
+7d465378e8810060
+f941008038e0000a
+392000007f45d378
+7fa3eb787e689b78
+7c84f8507c9e2050
+e88100604bfffc9d
+7ea7ab78e9410080
+7c9e205038c0000a
+7c84f8507d455378
+4bfffaed7fa3eb78
+893800003b180001
+2fa90000e9010060
+7d5e4050419e0010
+419dfee47fbf5040
+4bfffe907e268b78
+419e016c2b890073
+2b89006f419d006c
+2b890070419e00d4
+7d21e214409efef0
+7f66db7838e00010
+9ae900207c8af850
+3920000239000020
+7fa3eb787f45d378
+e88100604bfffc0d
+7fa3eb78e8a10078
+7c84f8507c9e2050
+e88100604bfffb75
 38c000107ea7ab78
-e96100807c9e2050
-4bfffeec7d655b78
-38e000087d21e214
+7c9e20507f65db78
+2b8900784bffff5c
+2b89007a419e0018
+2b890075419e01cc
+3aa000014bfffeb8
+38e000107d21e214
 7e689b787c8af850
 7b291f249ae90020
 7fa3eb787f45d378
 392000007d72482a
 7d665b787f6b5838
-4bfffb35f9610080
+4bfffb89f9610080
 7ea7ab78e8810060
-7c9e205038c00008
-7d21e2144bffffac
-38e0000a39000020
-9ae9002038c00001
-392000007f45d378
-7fa3eb787c8af850
-e92100604bfffaf9
-e92100609b690000
-f921006039290001
-7d21e2144bfffe6c
-f901009038a0000a
-38800000f9410088
-9ae900207f43d378
-600000004bfff73d
-7f63db78f8610080
-600000004bfff861
-7fa91840e9210080
-7c634850409d0040
-e9010090e9410088
-392300012fa30000
-409e00087d4af850
-2c29000139200001
-3929ffffe8c10060
-7ce8305041820010
-419d00207faa3840
-7f65db78e8810060
-7c9e20507fa3eb78
-4bfff9cd7c84f850
-38e000204bfffdd4
-e8e1006098e60000
-f8e1006038e70001
-2b87006c4bffffb4
-409efdb03b200008
-4bfffda87cd83378
-3b2000022b870068
-7cd83378409efd9c
-4bfffd903b200001
-4bfffd883b200008
-3b0100413a600020
-993e00004bfffc60
-e92100607d455378
-f921006039290001
-000000004bfffb24
-0000128001000000
-f9e1ff78f9c1ff70
-fa21ff88fa01ff80
-fa61ff98fa41ff90
-faa1ffa8fa81ffa0
-fae1ffb8fac1ffb0
-fb21ffc8fb01ffc0
-fb61ffd8fb41ffd0
-fba1ffe8fb81ffe0
-fbe1fff8fbc1fff0
-4e800020f8010010
-e9e1ff78e9c1ff70
-ea21ff88ea01ff80
-ea61ff98ea41ff90
-eaa1ffa8ea81ffa0
-eae1ffb8eac1ffb0
-eb21ffc8eb01ffc0
-eb61ffd8eb41ffd0
-e8010010eb81ffe0
-7c0803a6eba1ffe8
-ebe1fff8ebc1fff0
-ebc1fff04e800020
-ebe1fff8e8010010
-4e8000207c0803a6
+7c9e205038c00010
+7d655b78e9610080
+7d21e2144bfffeec
+7c8af85038e00008
+9ae900207e689b78
+7f45d3787b291f24
+7d72482a7fa3eb78
+7f6b583839200000
+f96100807d665b78
+e88100604bfffb35
+38c000087ea7ab78
+4bffffac7c9e2050
+390000207d21e214
+38c0000138e0000a
+7f45d3789ae90020
+7c8af85039200000
+4bfffaf97fa3eb78
+9b690000e9210060
+39290001e9210060
+4bfffe6cf9210060
+38a0000a7d21e214
+f9410088f9010090
+7f43d37838800000
+4bfff73d9ae90020
+f861008060000000
+4bfff8617f63db78
+e921008060000000
+409d00407fa91840
+e94100887c634850
+2fa30000e9010090
+7d4af85039230001
+39200001409e0008
+e8c100602c290001
+418200103929ffff
+7faa38407ce83050
+e8810060419d0020
+7fa3eb787f65db78
+7c84f8507c9e2050
+4bfffdd44bfff9cd
+98e6000038e00020
+38e70001e8e10060
+4bffffb4f8e10060
+3b2000082b87006c
+7cd83378409efdb0
+2b8700684bfffda8
+409efd9c3b200002
+3b2000017cd83378
+3b2000084bfffd90
+3a6000204bfffd88
+4bfffc603b010041
+7d455378993e0000
+39290001e9210060
+4bfffb24f9210060
+0100000000000000
+f9c1ff7000001280
+fa01ff80f9e1ff78
+fa41ff90fa21ff88
+fa81ffa0fa61ff98
+fac1ffb0faa1ffa8
+fb01ffc0fae1ffb8
+fb41ffd0fb21ffc8
+fb81ffe0fb61ffd8
+fbc1fff0fba1ffe8
+f8010010fbe1fff8
+e9c1ff704e800020
+ea01ff80e9e1ff78
+ea41ff90ea21ff88
+ea81ffa0ea61ff98
+eac1ffb0eaa1ffa8
+eb01ffc0eae1ffb8
+eb41ffd0eb21ffc8
+eb81ffe0eb61ffd8
+eba1ffe8e8010010
+ebc1fff07c0803a6
+4e800020ebe1fff8
+e8010010ebc1fff0
+7c0803a6ebe1fff8
+000000004e800020
 6d6f636c65570a0a
 63694d206f742065
 2120747461776f72
@@ -1180,9 +1160,9 @@ ebe1fff8e8010010
 203a4b4c43202020
 7a484d20646c6c25
 000000000000000a
-6131333764343635
+3163616539333236
 0000000000000000
-0033306536316430
+0039326232623162
 4d4152446574694c
 6620746c69756220
 6567694d206d6f72
@@ -1199,35 +1179,16 @@ ebe1fff8e8010010
 20676e69746f6f42
 415244206d6f7266
 0000000a2e2e2e4d
-20747365746d656d
-000a2e2e2e737562
-7830203a7375625b
-7830203a5d783025
-2073762078383025
-000a783830257830
-257830207375625b
-257830203a5d7830
-3020737620783830
-00000a7838302578
 20747365746d654d
 6c69616620737562
 252f6425203a6465
 73726f7272652064
 000000000000000a
-20747365746d656d
-0a2e2e2e61746164
-0000000000000000
-783020617461645b
-7830203a5d783025
-2073762078383025
-000a783830257830
-20747365746d656d
-0a2e2e2e72646461
-0000000000000000
-783020726464615b
-7830203a5d783025
-2073762078383025
-000a783830257830
+20747365746d654d
+6961662061746164
+2f6425203a64656c
+726f727265206425
+0000000000000a73
 20747365746d654d
 6961662072646461
 2f6425203a64656c
@@ -1249,11 +1210,6 @@ ebe1fff8e8010010
 696c616974696e49
 52445320676e697a
 00000a2e2e2e4d41
-6f6e204d41524453
-207265646e752077
-6572617774666f73
-6c6f72746e6f6320
-000000000000000a
 0000000000000000
 00000000000000ff
 000000000000ffff
index e44b5260db034f43e0dd5b88609f57dda55c91cf..f3f21e343b23e17d55eb3ebee40799046dbeda1e 100644 (file)
@@ -1,5 +1,5 @@
 //--------------------------------------------------------------------------------
-// Auto-generated by Migen (0d16e03) & LiteX (564d731a) on 2020-05-31 17:48:54
+// Auto-generated by Migen (b1b2b29) & LiteX (6239eac1) on 2020-06-02 11:27:39
 //--------------------------------------------------------------------------------
 module litedram_core(
        input wire clk,
@@ -33,8 +33,8 @@ module litedram_core(
 
 reg [13:0] litedramcore_adr = 14'd0;
 reg litedramcore_we = 1'd0;
-wire [31:0] litedramcore_dat_w;
-wire [31:0] litedramcore_dat_r;
+wire [7:0] litedramcore_dat_w;
+wire [7:0] litedramcore_dat_r;
 wire [29:0] litedramcore_wishbone_adr;
 wire [31:0] litedramcore_wishbone_dat_w;
 wire [31:0] litedramcore_wishbone_dat_r;
@@ -130,310 +130,6 @@ reg ddrphy_dfiphasemodel3_activate = 1'd0;
 reg ddrphy_dfiphasemodel3_precharge = 1'd0;
 reg ddrphy_dfiphasemodel3_write = 1'd0;
 reg ddrphy_dfiphasemodel3_read = 1'd0;
-reg [63:0] ddrphy_dfitimingschecker_cnt = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker0 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker1 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker2 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker3 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker4 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker5 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker6 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker7 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker8 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker9 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker10 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker11 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker12 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker13 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker14 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker15 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker16 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker17 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker18 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker19 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker20 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker21 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker22 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker23 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker24 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker25 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker26 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker27 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker28 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker29 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker30 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker31 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker32 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker33 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker34 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker35 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker36 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker37 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker38 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker39 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker40 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker41 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker42 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker43 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker44 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker45 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker46 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_dfitimingschecker47 = 64'd0;
-reg [3:0] ddrphy_dfitimingschecker_last_cmd0 = 4'd0;
-reg [3:0] ddrphy_dfitimingschecker_last_cmd1 = 4'd0;
-reg [3:0] ddrphy_dfitimingschecker_last_cmd2 = 4'd0;
-reg [3:0] ddrphy_dfitimingschecker_last_cmd3 = 4'd0;
-reg [3:0] ddrphy_dfitimingschecker_last_cmd4 = 4'd0;
-reg [3:0] ddrphy_dfitimingschecker_last_cmd5 = 4'd0;
-reg [3:0] ddrphy_dfitimingschecker_last_cmd6 = 4'd0;
-reg [3:0] ddrphy_dfitimingschecker_last_cmd7 = 4'd0;
-reg [63:0] ddrphy_dfitimingschecker0 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker1 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker2 = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker3 = 64'd0;
-reg [1:0] ddrphy_dfitimingschecker_act_curr = 2'd0;
-reg [3:0] ddrphy_dfitimingschecker_ref_issued = 4'd0;
-wire [63:0] ddrphy_dfitimingschecker_ps0;
-wire [3:0] ddrphy_dfitimingschecker_state0;
-wire ddrphy_dfitimingschecker_all_banks0;
-wire ddrphy_dfitimingschecker_cmd_recv0;
-wire ddrphy_dfitimingschecker_cmd_recv1;
-wire ddrphy_dfitimingschecker_cmd_recv2;
-wire [1:0] ddrphy_dfitimingschecker_act_next0;
-wire ddrphy_dfitimingschecker_cmd_recv3;
-wire ddrphy_dfitimingschecker_cmd_recv4;
-wire ddrphy_dfitimingschecker_cmd_recv5;
-wire ddrphy_dfitimingschecker_cmd_recv6;
-wire ddrphy_dfitimingschecker_cmd_recv7;
-wire ddrphy_dfitimingschecker_cmd_recv8;
-wire [1:0] ddrphy_dfitimingschecker_act_next1;
-wire ddrphy_dfitimingschecker_cmd_recv9;
-wire ddrphy_dfitimingschecker_cmd_recv10;
-wire ddrphy_dfitimingschecker_cmd_recv11;
-wire ddrphy_dfitimingschecker_cmd_recv12;
-wire ddrphy_dfitimingschecker_cmd_recv13;
-wire ddrphy_dfitimingschecker_cmd_recv14;
-wire [1:0] ddrphy_dfitimingschecker_act_next2;
-wire ddrphy_dfitimingschecker_cmd_recv15;
-wire ddrphy_dfitimingschecker_cmd_recv16;
-wire ddrphy_dfitimingschecker_cmd_recv17;
-wire ddrphy_dfitimingschecker_cmd_recv18;
-wire ddrphy_dfitimingschecker_cmd_recv19;
-wire ddrphy_dfitimingschecker_cmd_recv20;
-wire [1:0] ddrphy_dfitimingschecker_act_next3;
-wire ddrphy_dfitimingschecker_cmd_recv21;
-wire ddrphy_dfitimingschecker_cmd_recv22;
-wire ddrphy_dfitimingschecker_cmd_recv23;
-wire ddrphy_dfitimingschecker_cmd_recv24;
-wire ddrphy_dfitimingschecker_cmd_recv25;
-wire ddrphy_dfitimingschecker_cmd_recv26;
-wire [1:0] ddrphy_dfitimingschecker_act_next4;
-wire ddrphy_dfitimingschecker_cmd_recv27;
-wire ddrphy_dfitimingschecker_cmd_recv28;
-wire ddrphy_dfitimingschecker_cmd_recv29;
-wire ddrphy_dfitimingschecker_cmd_recv30;
-wire ddrphy_dfitimingschecker_cmd_recv31;
-wire ddrphy_dfitimingschecker_cmd_recv32;
-wire [1:0] ddrphy_dfitimingschecker_act_next5;
-wire ddrphy_dfitimingschecker_cmd_recv33;
-wire ddrphy_dfitimingschecker_cmd_recv34;
-wire ddrphy_dfitimingschecker_cmd_recv35;
-wire ddrphy_dfitimingschecker_cmd_recv36;
-wire ddrphy_dfitimingschecker_cmd_recv37;
-wire ddrphy_dfitimingschecker_cmd_recv38;
-wire [1:0] ddrphy_dfitimingschecker_act_next6;
-wire ddrphy_dfitimingschecker_cmd_recv39;
-wire ddrphy_dfitimingschecker_cmd_recv40;
-wire ddrphy_dfitimingschecker_cmd_recv41;
-wire ddrphy_dfitimingschecker_cmd_recv42;
-wire ddrphy_dfitimingschecker_cmd_recv43;
-wire ddrphy_dfitimingschecker_cmd_recv44;
-wire [1:0] ddrphy_dfitimingschecker_act_next7;
-wire ddrphy_dfitimingschecker_cmd_recv45;
-wire ddrphy_dfitimingschecker_cmd_recv46;
-wire ddrphy_dfitimingschecker_cmd_recv47;
-wire [63:0] ddrphy_dfitimingschecker_ps1;
-wire [3:0] ddrphy_dfitimingschecker_state1;
-wire ddrphy_dfitimingschecker_all_banks1;
-wire ddrphy_dfitimingschecker_cmd_recv48;
-wire ddrphy_dfitimingschecker_cmd_recv49;
-wire ddrphy_dfitimingschecker_cmd_recv50;
-wire [1:0] ddrphy_dfitimingschecker_act_next8;
-wire ddrphy_dfitimingschecker_cmd_recv51;
-wire ddrphy_dfitimingschecker_cmd_recv52;
-wire ddrphy_dfitimingschecker_cmd_recv53;
-wire ddrphy_dfitimingschecker_cmd_recv54;
-wire ddrphy_dfitimingschecker_cmd_recv55;
-wire ddrphy_dfitimingschecker_cmd_recv56;
-wire [1:0] ddrphy_dfitimingschecker_act_next9;
-wire ddrphy_dfitimingschecker_cmd_recv57;
-wire ddrphy_dfitimingschecker_cmd_recv58;
-wire ddrphy_dfitimingschecker_cmd_recv59;
-wire ddrphy_dfitimingschecker_cmd_recv60;
-wire ddrphy_dfitimingschecker_cmd_recv61;
-wire ddrphy_dfitimingschecker_cmd_recv62;
-wire [1:0] ddrphy_dfitimingschecker_act_next10;
-wire ddrphy_dfitimingschecker_cmd_recv63;
-wire ddrphy_dfitimingschecker_cmd_recv64;
-wire ddrphy_dfitimingschecker_cmd_recv65;
-wire ddrphy_dfitimingschecker_cmd_recv66;
-wire ddrphy_dfitimingschecker_cmd_recv67;
-wire ddrphy_dfitimingschecker_cmd_recv68;
-wire [1:0] ddrphy_dfitimingschecker_act_next11;
-wire ddrphy_dfitimingschecker_cmd_recv69;
-wire ddrphy_dfitimingschecker_cmd_recv70;
-wire ddrphy_dfitimingschecker_cmd_recv71;
-wire ddrphy_dfitimingschecker_cmd_recv72;
-wire ddrphy_dfitimingschecker_cmd_recv73;
-wire ddrphy_dfitimingschecker_cmd_recv74;
-wire [1:0] ddrphy_dfitimingschecker_act_next12;
-wire ddrphy_dfitimingschecker_cmd_recv75;
-wire ddrphy_dfitimingschecker_cmd_recv76;
-wire ddrphy_dfitimingschecker_cmd_recv77;
-wire ddrphy_dfitimingschecker_cmd_recv78;
-wire ddrphy_dfitimingschecker_cmd_recv79;
-wire ddrphy_dfitimingschecker_cmd_recv80;
-wire [1:0] ddrphy_dfitimingschecker_act_next13;
-wire ddrphy_dfitimingschecker_cmd_recv81;
-wire ddrphy_dfitimingschecker_cmd_recv82;
-wire ddrphy_dfitimingschecker_cmd_recv83;
-wire ddrphy_dfitimingschecker_cmd_recv84;
-wire ddrphy_dfitimingschecker_cmd_recv85;
-wire ddrphy_dfitimingschecker_cmd_recv86;
-wire [1:0] ddrphy_dfitimingschecker_act_next14;
-wire ddrphy_dfitimingschecker_cmd_recv87;
-wire ddrphy_dfitimingschecker_cmd_recv88;
-wire ddrphy_dfitimingschecker_cmd_recv89;
-wire ddrphy_dfitimingschecker_cmd_recv90;
-wire ddrphy_dfitimingschecker_cmd_recv91;
-wire ddrphy_dfitimingschecker_cmd_recv92;
-wire [1:0] ddrphy_dfitimingschecker_act_next15;
-wire ddrphy_dfitimingschecker_cmd_recv93;
-wire ddrphy_dfitimingschecker_cmd_recv94;
-wire ddrphy_dfitimingschecker_cmd_recv95;
-wire [63:0] ddrphy_dfitimingschecker_ps2;
-wire [3:0] ddrphy_dfitimingschecker_state2;
-wire ddrphy_dfitimingschecker_all_banks2;
-wire ddrphy_dfitimingschecker_cmd_recv96;
-wire ddrphy_dfitimingschecker_cmd_recv97;
-wire ddrphy_dfitimingschecker_cmd_recv98;
-wire [1:0] ddrphy_dfitimingschecker_act_next16;
-wire ddrphy_dfitimingschecker_cmd_recv99;
-wire ddrphy_dfitimingschecker_cmd_recv100;
-wire ddrphy_dfitimingschecker_cmd_recv101;
-wire ddrphy_dfitimingschecker_cmd_recv102;
-wire ddrphy_dfitimingschecker_cmd_recv103;
-wire ddrphy_dfitimingschecker_cmd_recv104;
-wire [1:0] ddrphy_dfitimingschecker_act_next17;
-wire ddrphy_dfitimingschecker_cmd_recv105;
-wire ddrphy_dfitimingschecker_cmd_recv106;
-wire ddrphy_dfitimingschecker_cmd_recv107;
-wire ddrphy_dfitimingschecker_cmd_recv108;
-wire ddrphy_dfitimingschecker_cmd_recv109;
-wire ddrphy_dfitimingschecker_cmd_recv110;
-wire [1:0] ddrphy_dfitimingschecker_act_next18;
-wire ddrphy_dfitimingschecker_cmd_recv111;
-wire ddrphy_dfitimingschecker_cmd_recv112;
-wire ddrphy_dfitimingschecker_cmd_recv113;
-wire ddrphy_dfitimingschecker_cmd_recv114;
-wire ddrphy_dfitimingschecker_cmd_recv115;
-wire ddrphy_dfitimingschecker_cmd_recv116;
-wire [1:0] ddrphy_dfitimingschecker_act_next19;
-wire ddrphy_dfitimingschecker_cmd_recv117;
-wire ddrphy_dfitimingschecker_cmd_recv118;
-wire ddrphy_dfitimingschecker_cmd_recv119;
-wire ddrphy_dfitimingschecker_cmd_recv120;
-wire ddrphy_dfitimingschecker_cmd_recv121;
-wire ddrphy_dfitimingschecker_cmd_recv122;
-wire [1:0] ddrphy_dfitimingschecker_act_next20;
-wire ddrphy_dfitimingschecker_cmd_recv123;
-wire ddrphy_dfitimingschecker_cmd_recv124;
-wire ddrphy_dfitimingschecker_cmd_recv125;
-wire ddrphy_dfitimingschecker_cmd_recv126;
-wire ddrphy_dfitimingschecker_cmd_recv127;
-wire ddrphy_dfitimingschecker_cmd_recv128;
-wire [1:0] ddrphy_dfitimingschecker_act_next21;
-wire ddrphy_dfitimingschecker_cmd_recv129;
-wire ddrphy_dfitimingschecker_cmd_recv130;
-wire ddrphy_dfitimingschecker_cmd_recv131;
-wire ddrphy_dfitimingschecker_cmd_recv132;
-wire ddrphy_dfitimingschecker_cmd_recv133;
-wire ddrphy_dfitimingschecker_cmd_recv134;
-wire [1:0] ddrphy_dfitimingschecker_act_next22;
-wire ddrphy_dfitimingschecker_cmd_recv135;
-wire ddrphy_dfitimingschecker_cmd_recv136;
-wire ddrphy_dfitimingschecker_cmd_recv137;
-wire ddrphy_dfitimingschecker_cmd_recv138;
-wire ddrphy_dfitimingschecker_cmd_recv139;
-wire ddrphy_dfitimingschecker_cmd_recv140;
-wire [1:0] ddrphy_dfitimingschecker_act_next23;
-wire ddrphy_dfitimingschecker_cmd_recv141;
-wire ddrphy_dfitimingschecker_cmd_recv142;
-wire ddrphy_dfitimingschecker_cmd_recv143;
-wire [63:0] ddrphy_dfitimingschecker_ps3;
-wire [3:0] ddrphy_dfitimingschecker_state3;
-wire ddrphy_dfitimingschecker_all_banks3;
-wire ddrphy_dfitimingschecker_cmd_recv144;
-wire ddrphy_dfitimingschecker_cmd_recv145;
-wire ddrphy_dfitimingschecker_cmd_recv146;
-wire [1:0] ddrphy_dfitimingschecker_act_next24;
-wire ddrphy_dfitimingschecker_cmd_recv147;
-wire ddrphy_dfitimingschecker_cmd_recv148;
-wire ddrphy_dfitimingschecker_cmd_recv149;
-wire ddrphy_dfitimingschecker_cmd_recv150;
-wire ddrphy_dfitimingschecker_cmd_recv151;
-wire ddrphy_dfitimingschecker_cmd_recv152;
-wire [1:0] ddrphy_dfitimingschecker_act_next25;
-wire ddrphy_dfitimingschecker_cmd_recv153;
-wire ddrphy_dfitimingschecker_cmd_recv154;
-wire ddrphy_dfitimingschecker_cmd_recv155;
-wire ddrphy_dfitimingschecker_cmd_recv156;
-wire ddrphy_dfitimingschecker_cmd_recv157;
-wire ddrphy_dfitimingschecker_cmd_recv158;
-wire [1:0] ddrphy_dfitimingschecker_act_next26;
-wire ddrphy_dfitimingschecker_cmd_recv159;
-wire ddrphy_dfitimingschecker_cmd_recv160;
-wire ddrphy_dfitimingschecker_cmd_recv161;
-wire ddrphy_dfitimingschecker_cmd_recv162;
-wire ddrphy_dfitimingschecker_cmd_recv163;
-wire ddrphy_dfitimingschecker_cmd_recv164;
-wire [1:0] ddrphy_dfitimingschecker_act_next27;
-wire ddrphy_dfitimingschecker_cmd_recv165;
-wire ddrphy_dfitimingschecker_cmd_recv166;
-wire ddrphy_dfitimingschecker_cmd_recv167;
-wire ddrphy_dfitimingschecker_cmd_recv168;
-wire ddrphy_dfitimingschecker_cmd_recv169;
-wire ddrphy_dfitimingschecker_cmd_recv170;
-wire [1:0] ddrphy_dfitimingschecker_act_next28;
-wire ddrphy_dfitimingschecker_cmd_recv171;
-wire ddrphy_dfitimingschecker_cmd_recv172;
-wire ddrphy_dfitimingschecker_cmd_recv173;
-wire ddrphy_dfitimingschecker_cmd_recv174;
-wire ddrphy_dfitimingschecker_cmd_recv175;
-wire ddrphy_dfitimingschecker_cmd_recv176;
-wire [1:0] ddrphy_dfitimingschecker_act_next29;
-wire ddrphy_dfitimingschecker_cmd_recv177;
-wire ddrphy_dfitimingschecker_cmd_recv178;
-wire ddrphy_dfitimingschecker_cmd_recv179;
-wire ddrphy_dfitimingschecker_cmd_recv180;
-wire ddrphy_dfitimingschecker_cmd_recv181;
-wire ddrphy_dfitimingschecker_cmd_recv182;
-wire [1:0] ddrphy_dfitimingschecker_act_next30;
-wire ddrphy_dfitimingschecker_cmd_recv183;
-wire ddrphy_dfitimingschecker_cmd_recv184;
-wire ddrphy_dfitimingschecker_cmd_recv185;
-wire ddrphy_dfitimingschecker_cmd_recv186;
-wire ddrphy_dfitimingschecker_cmd_recv187;
-wire ddrphy_dfitimingschecker_cmd_recv188;
-wire [1:0] ddrphy_dfitimingschecker_act_next31;
-wire ddrphy_dfitimingschecker_cmd_recv189;
-wire ddrphy_dfitimingschecker_cmd_recv190;
-wire ddrphy_dfitimingschecker_cmd_recv191;
-reg [63:0] ddrphy_dfitimingschecker_ref_ps = 64'd0;
-reg [63:0] ddrphy_dfitimingschecker_ref_ps_mod = 64'd0;
-reg signed [63:0] ddrphy_dfitimingschecker_ref_ps_diff = 64'd0;
-wire signed [63:0] ddrphy_dfitimingschecker_curr_diff;
-reg ddrphy_dfitimingschecker_ref_done = 1'd0;
 reg ddrphy_bankmodel0_activate = 1'd0;
 reg [13:0] ddrphy_bankmodel0_activate_row = 14'd0;
 reg ddrphy_bankmodel0_precharge = 1'd0;
@@ -1942,8 +1638,8 @@ reg new_master_rdata_valid8 = 1'd0;
 reg new_master_rdata_valid9 = 1'd0;
 wire [13:0] interface0_bank_bus_adr;
 wire interface0_bank_bus_we;
-wire [31:0] interface0_bank_bus_dat_w;
-reg [31:0] interface0_bank_bus_dat_r = 32'd0;
+wire [7:0] interface0_bank_bus_dat_w;
+reg [7:0] interface0_bank_bus_dat_r = 8'd0;
 wire csrbank0_init_done0_re;
 wire csrbank0_init_done0_r;
 wire csrbank0_init_done0_we;
@@ -1952,11 +1648,11 @@ wire csrbank0_init_error0_re;
 wire csrbank0_init_error0_r;
 wire csrbank0_init_error0_we;
 wire csrbank0_init_error0_w;
-reg csrbank0_sel = 1'd0;
+wire csrbank0_sel;
 wire [13:0] interface1_bank_bus_adr;
 wire interface1_bank_bus_we;
-wire [31:0] interface1_bank_bus_dat_w;
-reg [31:0] interface1_bank_bus_dat_r = 32'd0;
+wire [7:0] interface1_bank_bus_dat_w;
+reg [7:0] interface1_bank_bus_dat_r = 8'd0;
 wire csrbank1_dfii_control0_re;
 wire [3:0] csrbank1_dfii_control0_r;
 wire csrbank1_dfii_control0_we;
@@ -1965,87 +1661,199 @@ wire csrbank1_dfii_pi0_command0_re;
 wire [5:0] csrbank1_dfii_pi0_command0_r;
 wire csrbank1_dfii_pi0_command0_we;
 wire [5:0] csrbank1_dfii_pi0_command0_w;
+wire csrbank1_dfii_pi0_address1_re;
+wire [5:0] csrbank1_dfii_pi0_address1_r;
+wire csrbank1_dfii_pi0_address1_we;
+wire [5:0] csrbank1_dfii_pi0_address1_w;
 wire csrbank1_dfii_pi0_address0_re;
-wire [13:0] csrbank1_dfii_pi0_address0_r;
+wire [7:0] csrbank1_dfii_pi0_address0_r;
 wire csrbank1_dfii_pi0_address0_we;
-wire [13:0] csrbank1_dfii_pi0_address0_w;
+wire [7:0] csrbank1_dfii_pi0_address0_w;
 wire csrbank1_dfii_pi0_baddress0_re;
 wire [2:0] csrbank1_dfii_pi0_baddress0_r;
 wire csrbank1_dfii_pi0_baddress0_we;
 wire [2:0] csrbank1_dfii_pi0_baddress0_w;
+wire csrbank1_dfii_pi0_wrdata3_re;
+wire [7:0] csrbank1_dfii_pi0_wrdata3_r;
+wire csrbank1_dfii_pi0_wrdata3_we;
+wire [7:0] csrbank1_dfii_pi0_wrdata3_w;
+wire csrbank1_dfii_pi0_wrdata2_re;
+wire [7:0] csrbank1_dfii_pi0_wrdata2_r;
+wire csrbank1_dfii_pi0_wrdata2_we;
+wire [7:0] csrbank1_dfii_pi0_wrdata2_w;
+wire csrbank1_dfii_pi0_wrdata1_re;
+wire [7:0] csrbank1_dfii_pi0_wrdata1_r;
+wire csrbank1_dfii_pi0_wrdata1_we;
+wire [7:0] csrbank1_dfii_pi0_wrdata1_w;
 wire csrbank1_dfii_pi0_wrdata0_re;
-wire [31:0] csrbank1_dfii_pi0_wrdata0_r;
+wire [7:0] csrbank1_dfii_pi0_wrdata0_r;
 wire csrbank1_dfii_pi0_wrdata0_we;
-wire [31:0] csrbank1_dfii_pi0_wrdata0_w;
-wire csrbank1_dfii_pi0_rddata_re;
-wire [31:0] csrbank1_dfii_pi0_rddata_r;
-wire csrbank1_dfii_pi0_rddata_we;
-wire [31:0] csrbank1_dfii_pi0_rddata_w;
+wire [7:0] csrbank1_dfii_pi0_wrdata0_w;
+wire csrbank1_dfii_pi0_rddata3_re;
+wire [7:0] csrbank1_dfii_pi0_rddata3_r;
+wire csrbank1_dfii_pi0_rddata3_we;
+wire [7:0] csrbank1_dfii_pi0_rddata3_w;
+wire csrbank1_dfii_pi0_rddata2_re;
+wire [7:0] csrbank1_dfii_pi0_rddata2_r;
+wire csrbank1_dfii_pi0_rddata2_we;
+wire [7:0] csrbank1_dfii_pi0_rddata2_w;
+wire csrbank1_dfii_pi0_rddata1_re;
+wire [7:0] csrbank1_dfii_pi0_rddata1_r;
+wire csrbank1_dfii_pi0_rddata1_we;
+wire [7:0] csrbank1_dfii_pi0_rddata1_w;
+wire csrbank1_dfii_pi0_rddata0_re;
+wire [7:0] csrbank1_dfii_pi0_rddata0_r;
+wire csrbank1_dfii_pi0_rddata0_we;
+wire [7:0] csrbank1_dfii_pi0_rddata0_w;
 wire csrbank1_dfii_pi1_command0_re;
 wire [5:0] csrbank1_dfii_pi1_command0_r;
 wire csrbank1_dfii_pi1_command0_we;
 wire [5:0] csrbank1_dfii_pi1_command0_w;
+wire csrbank1_dfii_pi1_address1_re;
+wire [5:0] csrbank1_dfii_pi1_address1_r;
+wire csrbank1_dfii_pi1_address1_we;
+wire [5:0] csrbank1_dfii_pi1_address1_w;
 wire csrbank1_dfii_pi1_address0_re;
-wire [13:0] csrbank1_dfii_pi1_address0_r;
+wire [7:0] csrbank1_dfii_pi1_address0_r;
 wire csrbank1_dfii_pi1_address0_we;
-wire [13:0] csrbank1_dfii_pi1_address0_w;
+wire [7:0] csrbank1_dfii_pi1_address0_w;
 wire csrbank1_dfii_pi1_baddress0_re;
 wire [2:0] csrbank1_dfii_pi1_baddress0_r;
 wire csrbank1_dfii_pi1_baddress0_we;
 wire [2:0] csrbank1_dfii_pi1_baddress0_w;
+wire csrbank1_dfii_pi1_wrdata3_re;
+wire [7:0] csrbank1_dfii_pi1_wrdata3_r;
+wire csrbank1_dfii_pi1_wrdata3_we;
+wire [7:0] csrbank1_dfii_pi1_wrdata3_w;
+wire csrbank1_dfii_pi1_wrdata2_re;
+wire [7:0] csrbank1_dfii_pi1_wrdata2_r;
+wire csrbank1_dfii_pi1_wrdata2_we;
+wire [7:0] csrbank1_dfii_pi1_wrdata2_w;
+wire csrbank1_dfii_pi1_wrdata1_re;
+wire [7:0] csrbank1_dfii_pi1_wrdata1_r;
+wire csrbank1_dfii_pi1_wrdata1_we;
+wire [7:0] csrbank1_dfii_pi1_wrdata1_w;
 wire csrbank1_dfii_pi1_wrdata0_re;
-wire [31:0] csrbank1_dfii_pi1_wrdata0_r;
+wire [7:0] csrbank1_dfii_pi1_wrdata0_r;
 wire csrbank1_dfii_pi1_wrdata0_we;
-wire [31:0] csrbank1_dfii_pi1_wrdata0_w;
-wire csrbank1_dfii_pi1_rddata_re;
-wire [31:0] csrbank1_dfii_pi1_rddata_r;
-wire csrbank1_dfii_pi1_rddata_we;
-wire [31:0] csrbank1_dfii_pi1_rddata_w;
+wire [7:0] csrbank1_dfii_pi1_wrdata0_w;
+wire csrbank1_dfii_pi1_rddata3_re;
+wire [7:0] csrbank1_dfii_pi1_rddata3_r;
+wire csrbank1_dfii_pi1_rddata3_we;
+wire [7:0] csrbank1_dfii_pi1_rddata3_w;
+wire csrbank1_dfii_pi1_rddata2_re;
+wire [7:0] csrbank1_dfii_pi1_rddata2_r;
+wire csrbank1_dfii_pi1_rddata2_we;
+wire [7:0] csrbank1_dfii_pi1_rddata2_w;
+wire csrbank1_dfii_pi1_rddata1_re;
+wire [7:0] csrbank1_dfii_pi1_rddata1_r;
+wire csrbank1_dfii_pi1_rddata1_we;
+wire [7:0] csrbank1_dfii_pi1_rddata1_w;
+wire csrbank1_dfii_pi1_rddata0_re;
+wire [7:0] csrbank1_dfii_pi1_rddata0_r;
+wire csrbank1_dfii_pi1_rddata0_we;
+wire [7:0] csrbank1_dfii_pi1_rddata0_w;
 wire csrbank1_dfii_pi2_command0_re;
 wire [5:0] csrbank1_dfii_pi2_command0_r;
 wire csrbank1_dfii_pi2_command0_we;
 wire [5:0] csrbank1_dfii_pi2_command0_w;
+wire csrbank1_dfii_pi2_address1_re;
+wire [5:0] csrbank1_dfii_pi2_address1_r;
+wire csrbank1_dfii_pi2_address1_we;
+wire [5:0] csrbank1_dfii_pi2_address1_w;
 wire csrbank1_dfii_pi2_address0_re;
-wire [13:0] csrbank1_dfii_pi2_address0_r;
+wire [7:0] csrbank1_dfii_pi2_address0_r;
 wire csrbank1_dfii_pi2_address0_we;
-wire [13:0] csrbank1_dfii_pi2_address0_w;
+wire [7:0] csrbank1_dfii_pi2_address0_w;
 wire csrbank1_dfii_pi2_baddress0_re;
 wire [2:0] csrbank1_dfii_pi2_baddress0_r;
 wire csrbank1_dfii_pi2_baddress0_we;
 wire [2:0] csrbank1_dfii_pi2_baddress0_w;
+wire csrbank1_dfii_pi2_wrdata3_re;
+wire [7:0] csrbank1_dfii_pi2_wrdata3_r;
+wire csrbank1_dfii_pi2_wrdata3_we;
+wire [7:0] csrbank1_dfii_pi2_wrdata3_w;
+wire csrbank1_dfii_pi2_wrdata2_re;
+wire [7:0] csrbank1_dfii_pi2_wrdata2_r;
+wire csrbank1_dfii_pi2_wrdata2_we;
+wire [7:0] csrbank1_dfii_pi2_wrdata2_w;
+wire csrbank1_dfii_pi2_wrdata1_re;
+wire [7:0] csrbank1_dfii_pi2_wrdata1_r;
+wire csrbank1_dfii_pi2_wrdata1_we;
+wire [7:0] csrbank1_dfii_pi2_wrdata1_w;
 wire csrbank1_dfii_pi2_wrdata0_re;
-wire [31:0] csrbank1_dfii_pi2_wrdata0_r;
+wire [7:0] csrbank1_dfii_pi2_wrdata0_r;
 wire csrbank1_dfii_pi2_wrdata0_we;
-wire [31:0] csrbank1_dfii_pi2_wrdata0_w;
-wire csrbank1_dfii_pi2_rddata_re;
-wire [31:0] csrbank1_dfii_pi2_rddata_r;
-wire csrbank1_dfii_pi2_rddata_we;
-wire [31:0] csrbank1_dfii_pi2_rddata_w;
+wire [7:0] csrbank1_dfii_pi2_wrdata0_w;
+wire csrbank1_dfii_pi2_rddata3_re;
+wire [7:0] csrbank1_dfii_pi2_rddata3_r;
+wire csrbank1_dfii_pi2_rddata3_we;
+wire [7:0] csrbank1_dfii_pi2_rddata3_w;
+wire csrbank1_dfii_pi2_rddata2_re;
+wire [7:0] csrbank1_dfii_pi2_rddata2_r;
+wire csrbank1_dfii_pi2_rddata2_we;
+wire [7:0] csrbank1_dfii_pi2_rddata2_w;
+wire csrbank1_dfii_pi2_rddata1_re;
+wire [7:0] csrbank1_dfii_pi2_rddata1_r;
+wire csrbank1_dfii_pi2_rddata1_we;
+wire [7:0] csrbank1_dfii_pi2_rddata1_w;
+wire csrbank1_dfii_pi2_rddata0_re;
+wire [7:0] csrbank1_dfii_pi2_rddata0_r;
+wire csrbank1_dfii_pi2_rddata0_we;
+wire [7:0] csrbank1_dfii_pi2_rddata0_w;
 wire csrbank1_dfii_pi3_command0_re;
 wire [5:0] csrbank1_dfii_pi3_command0_r;
 wire csrbank1_dfii_pi3_command0_we;
 wire [5:0] csrbank1_dfii_pi3_command0_w;
+wire csrbank1_dfii_pi3_address1_re;
+wire [5:0] csrbank1_dfii_pi3_address1_r;
+wire csrbank1_dfii_pi3_address1_we;
+wire [5:0] csrbank1_dfii_pi3_address1_w;
 wire csrbank1_dfii_pi3_address0_re;
-wire [13:0] csrbank1_dfii_pi3_address0_r;
+wire [7:0] csrbank1_dfii_pi3_address0_r;
 wire csrbank1_dfii_pi3_address0_we;
-wire [13:0] csrbank1_dfii_pi3_address0_w;
+wire [7:0] csrbank1_dfii_pi3_address0_w;
 wire csrbank1_dfii_pi3_baddress0_re;
 wire [2:0] csrbank1_dfii_pi3_baddress0_r;
 wire csrbank1_dfii_pi3_baddress0_we;
 wire [2:0] csrbank1_dfii_pi3_baddress0_w;
+wire csrbank1_dfii_pi3_wrdata3_re;
+wire [7:0] csrbank1_dfii_pi3_wrdata3_r;
+wire csrbank1_dfii_pi3_wrdata3_we;
+wire [7:0] csrbank1_dfii_pi3_wrdata3_w;
+wire csrbank1_dfii_pi3_wrdata2_re;
+wire [7:0] csrbank1_dfii_pi3_wrdata2_r;
+wire csrbank1_dfii_pi3_wrdata2_we;
+wire [7:0] csrbank1_dfii_pi3_wrdata2_w;
+wire csrbank1_dfii_pi3_wrdata1_re;
+wire [7:0] csrbank1_dfii_pi3_wrdata1_r;
+wire csrbank1_dfii_pi3_wrdata1_we;
+wire [7:0] csrbank1_dfii_pi3_wrdata1_w;
 wire csrbank1_dfii_pi3_wrdata0_re;
-wire [31:0] csrbank1_dfii_pi3_wrdata0_r;
+wire [7:0] csrbank1_dfii_pi3_wrdata0_r;
 wire csrbank1_dfii_pi3_wrdata0_we;
-wire [31:0] csrbank1_dfii_pi3_wrdata0_w;
-wire csrbank1_dfii_pi3_rddata_re;
-wire [31:0] csrbank1_dfii_pi3_rddata_r;
-wire csrbank1_dfii_pi3_rddata_we;
-wire [31:0] csrbank1_dfii_pi3_rddata_w;
-reg csrbank1_sel = 1'd0;
+wire [7:0] csrbank1_dfii_pi3_wrdata0_w;
+wire csrbank1_dfii_pi3_rddata3_re;
+wire [7:0] csrbank1_dfii_pi3_rddata3_r;
+wire csrbank1_dfii_pi3_rddata3_we;
+wire [7:0] csrbank1_dfii_pi3_rddata3_w;
+wire csrbank1_dfii_pi3_rddata2_re;
+wire [7:0] csrbank1_dfii_pi3_rddata2_r;
+wire csrbank1_dfii_pi3_rddata2_we;
+wire [7:0] csrbank1_dfii_pi3_rddata2_w;
+wire csrbank1_dfii_pi3_rddata1_re;
+wire [7:0] csrbank1_dfii_pi3_rddata1_r;
+wire csrbank1_dfii_pi3_rddata1_we;
+wire [7:0] csrbank1_dfii_pi3_rddata1_w;
+wire csrbank1_dfii_pi3_rddata0_re;
+wire [7:0] csrbank1_dfii_pi3_rddata0_r;
+wire csrbank1_dfii_pi3_rddata0_we;
+wire [7:0] csrbank1_dfii_pi3_rddata0_w;
+wire csrbank1_sel;
 wire [13:0] adr;
 wire we;
-wire [31:0] dat_w;
-wire [31:0] dat_r;
+wire [7:0] dat_w;
+wire [7:0] dat_r;
 wire [24:0] slice_proxy0;
 wire [24:0] slice_proxy1;
 wire [24:0] slice_proxy2;
@@ -2062,172 +1870,76 @@ wire [24:0] slice_proxy12;
 wire [24:0] slice_proxy13;
 wire [24:0] slice_proxy14;
 wire [24:0] slice_proxy15;
-reg comb_rhs_array_muxed0 = 1'd0;
-reg [13:0] comb_rhs_array_muxed1 = 14'd0;
-reg [2:0] comb_rhs_array_muxed2 = 3'd0;
-reg comb_rhs_array_muxed3 = 1'd0;
-reg comb_rhs_array_muxed4 = 1'd0;
-reg comb_rhs_array_muxed5 = 1'd0;
-reg comb_t_array_muxed0 = 1'd0;
-reg comb_t_array_muxed1 = 1'd0;
-reg comb_t_array_muxed2 = 1'd0;
-reg comb_rhs_array_muxed6 = 1'd0;
-reg [13:0] comb_rhs_array_muxed7 = 14'd0;
-reg [2:0] comb_rhs_array_muxed8 = 3'd0;
-reg comb_rhs_array_muxed9 = 1'd0;
-reg comb_rhs_array_muxed10 = 1'd0;
-reg comb_rhs_array_muxed11 = 1'd0;
-reg comb_t_array_muxed3 = 1'd0;
-reg comb_t_array_muxed4 = 1'd0;
-reg comb_t_array_muxed5 = 1'd0;
-reg [20:0] comb_rhs_array_muxed12 = 21'd0;
-reg comb_rhs_array_muxed13 = 1'd0;
-reg comb_rhs_array_muxed14 = 1'd0;
-reg [20:0] comb_rhs_array_muxed15 = 21'd0;
-reg comb_rhs_array_muxed16 = 1'd0;
-reg comb_rhs_array_muxed17 = 1'd0;
-reg [20:0] comb_rhs_array_muxed18 = 21'd0;
-reg comb_rhs_array_muxed19 = 1'd0;
-reg comb_rhs_array_muxed20 = 1'd0;
-reg [20:0] comb_rhs_array_muxed21 = 21'd0;
-reg comb_rhs_array_muxed22 = 1'd0;
-reg comb_rhs_array_muxed23 = 1'd0;
-reg [20:0] comb_rhs_array_muxed24 = 21'd0;
-reg comb_rhs_array_muxed25 = 1'd0;
-reg comb_rhs_array_muxed26 = 1'd0;
-reg [20:0] comb_rhs_array_muxed27 = 21'd0;
-reg comb_rhs_array_muxed28 = 1'd0;
-reg comb_rhs_array_muxed29 = 1'd0;
-reg [20:0] comb_rhs_array_muxed30 = 21'd0;
-reg comb_rhs_array_muxed31 = 1'd0;
-reg comb_rhs_array_muxed32 = 1'd0;
-reg [20:0] comb_rhs_array_muxed33 = 21'd0;
-reg comb_rhs_array_muxed34 = 1'd0;
-reg comb_rhs_array_muxed35 = 1'd0;
-reg [63:0] sync_basiclowerer_array_muxed0 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed1 = 64'd0;
-reg [63:0] sync_t_array_muxed0 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed2 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed3 = 64'd0;
-reg [63:0] sync_t_array_muxed1 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed4 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed5 = 64'd0;
-reg [63:0] sync_t_array_muxed2 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed6 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed7 = 64'd0;
-reg [63:0] sync_t_array_muxed3 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed8 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed9 = 64'd0;
-reg [63:0] sync_t_array_muxed4 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed10 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed11 = 64'd0;
-reg [63:0] sync_t_array_muxed5 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed12 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed13 = 64'd0;
-reg [63:0] sync_t_array_muxed6 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed14 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed15 = 64'd0;
-reg [63:0] sync_t_array_muxed7 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed16 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed17 = 64'd0;
-reg [63:0] sync_t_array_muxed8 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed18 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed19 = 64'd0;
-reg [63:0] sync_t_array_muxed9 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed20 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed21 = 64'd0;
-reg [63:0] sync_t_array_muxed10 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed22 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed23 = 64'd0;
-reg [63:0] sync_t_array_muxed11 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed24 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed25 = 64'd0;
-reg [63:0] sync_t_array_muxed12 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed26 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed27 = 64'd0;
-reg [63:0] sync_t_array_muxed13 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed28 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed29 = 64'd0;
-reg [63:0] sync_t_array_muxed14 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed30 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed31 = 64'd0;
-reg [63:0] sync_t_array_muxed15 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed32 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed33 = 64'd0;
-reg [63:0] sync_t_array_muxed16 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed34 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed35 = 64'd0;
-reg [63:0] sync_t_array_muxed17 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed36 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed37 = 64'd0;
-reg [63:0] sync_t_array_muxed18 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed38 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed39 = 64'd0;
-reg [63:0] sync_t_array_muxed19 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed40 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed41 = 64'd0;
-reg [63:0] sync_t_array_muxed20 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed42 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed43 = 64'd0;
-reg [63:0] sync_t_array_muxed21 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed44 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed45 = 64'd0;
-reg [63:0] sync_t_array_muxed22 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed46 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed47 = 64'd0;
-reg [63:0] sync_t_array_muxed23 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed48 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed49 = 64'd0;
-reg [63:0] sync_t_array_muxed24 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed50 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed51 = 64'd0;
-reg [63:0] sync_t_array_muxed25 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed52 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed53 = 64'd0;
-reg [63:0] sync_t_array_muxed26 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed54 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed55 = 64'd0;
-reg [63:0] sync_t_array_muxed27 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed56 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed57 = 64'd0;
-reg [63:0] sync_t_array_muxed28 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed58 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed59 = 64'd0;
-reg [63:0] sync_t_array_muxed29 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed60 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed61 = 64'd0;
-reg [63:0] sync_t_array_muxed30 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed62 = 64'd0;
-reg [63:0] sync_basiclowerer_array_muxed63 = 64'd0;
-reg [63:0] sync_t_array_muxed31 = 64'd0;
-reg [2:0] sync_rhs_array_muxed0 = 3'd0;
-reg [13:0] sync_rhs_array_muxed1 = 14'd0;
-reg sync_rhs_array_muxed2 = 1'd0;
-reg sync_rhs_array_muxed3 = 1'd0;
-reg sync_rhs_array_muxed4 = 1'd0;
-reg sync_rhs_array_muxed5 = 1'd0;
-reg sync_rhs_array_muxed6 = 1'd0;
-reg [2:0] sync_rhs_array_muxed7 = 3'd0;
-reg [13:0] sync_rhs_array_muxed8 = 14'd0;
-reg sync_rhs_array_muxed9 = 1'd0;
-reg sync_rhs_array_muxed10 = 1'd0;
-reg sync_rhs_array_muxed11 = 1'd0;
-reg sync_rhs_array_muxed12 = 1'd0;
-reg sync_rhs_array_muxed13 = 1'd0;
-reg [2:0] sync_rhs_array_muxed14 = 3'd0;
-reg [13:0] sync_rhs_array_muxed15 = 14'd0;
-reg sync_rhs_array_muxed16 = 1'd0;
-reg sync_rhs_array_muxed17 = 1'd0;
-reg sync_rhs_array_muxed18 = 1'd0;
-reg sync_rhs_array_muxed19 = 1'd0;
-reg sync_rhs_array_muxed20 = 1'd0;
-reg [2:0] sync_rhs_array_muxed21 = 3'd0;
-reg [13:0] sync_rhs_array_muxed22 = 14'd0;
-reg sync_rhs_array_muxed23 = 1'd0;
-reg sync_rhs_array_muxed24 = 1'd0;
-reg sync_rhs_array_muxed25 = 1'd0;
-reg sync_rhs_array_muxed26 = 1'd0;
-reg sync_rhs_array_muxed27 = 1'd0;
+reg rhs_array_muxed0 = 1'd0;
+reg [13:0] rhs_array_muxed1 = 14'd0;
+reg [2:0] rhs_array_muxed2 = 3'd0;
+reg rhs_array_muxed3 = 1'd0;
+reg rhs_array_muxed4 = 1'd0;
+reg rhs_array_muxed5 = 1'd0;
+reg t_array_muxed0 = 1'd0;
+reg t_array_muxed1 = 1'd0;
+reg t_array_muxed2 = 1'd0;
+reg rhs_array_muxed6 = 1'd0;
+reg [13:0] rhs_array_muxed7 = 14'd0;
+reg [2:0] rhs_array_muxed8 = 3'd0;
+reg rhs_array_muxed9 = 1'd0;
+reg rhs_array_muxed10 = 1'd0;
+reg rhs_array_muxed11 = 1'd0;
+reg t_array_muxed3 = 1'd0;
+reg t_array_muxed4 = 1'd0;
+reg t_array_muxed5 = 1'd0;
+reg [20:0] rhs_array_muxed12 = 21'd0;
+reg rhs_array_muxed13 = 1'd0;
+reg rhs_array_muxed14 = 1'd0;
+reg [20:0] rhs_array_muxed15 = 21'd0;
+reg rhs_array_muxed16 = 1'd0;
+reg rhs_array_muxed17 = 1'd0;
+reg [20:0] rhs_array_muxed18 = 21'd0;
+reg rhs_array_muxed19 = 1'd0;
+reg rhs_array_muxed20 = 1'd0;
+reg [20:0] rhs_array_muxed21 = 21'd0;
+reg rhs_array_muxed22 = 1'd0;
+reg rhs_array_muxed23 = 1'd0;
+reg [20:0] rhs_array_muxed24 = 21'd0;
+reg rhs_array_muxed25 = 1'd0;
+reg rhs_array_muxed26 = 1'd0;
+reg [20:0] rhs_array_muxed27 = 21'd0;
+reg rhs_array_muxed28 = 1'd0;
+reg rhs_array_muxed29 = 1'd0;
+reg [20:0] rhs_array_muxed30 = 21'd0;
+reg rhs_array_muxed31 = 1'd0;
+reg rhs_array_muxed32 = 1'd0;
+reg [20:0] rhs_array_muxed33 = 21'd0;
+reg rhs_array_muxed34 = 1'd0;
+reg rhs_array_muxed35 = 1'd0;
+reg [2:0] array_muxed0 = 3'd0;
+reg [13:0] array_muxed1 = 14'd0;
+reg array_muxed2 = 1'd0;
+reg array_muxed3 = 1'd0;
+reg array_muxed4 = 1'd0;
+reg array_muxed5 = 1'd0;
+reg array_muxed6 = 1'd0;
+reg [2:0] array_muxed7 = 3'd0;
+reg [13:0] array_muxed8 = 14'd0;
+reg array_muxed9 = 1'd0;
+reg array_muxed10 = 1'd0;
+reg array_muxed11 = 1'd0;
+reg array_muxed12 = 1'd0;
+reg array_muxed13 = 1'd0;
+reg [2:0] array_muxed14 = 3'd0;
+reg [13:0] array_muxed15 = 14'd0;
+reg array_muxed16 = 1'd0;
+reg array_muxed17 = 1'd0;
+reg array_muxed18 = 1'd0;
+reg array_muxed19 = 1'd0;
+reg array_muxed20 = 1'd0;
+reg [2:0] array_muxed21 = 3'd0;
+reg [13:0] array_muxed22 = 14'd0;
+reg array_muxed23 = 1'd0;
+reg array_muxed24 = 1'd0;
+reg array_muxed25 = 1'd0;
+reg array_muxed26 = 1'd0;
+reg array_muxed27 = 1'd0;
 
 assign init_done = init_done_storage;
 assign init_error = init_error_storage;
@@ -2272,36 +1984,36 @@ always @(*) begin
        endcase
 end
 always @(*) begin
-       litedramcore_adr = 14'd0;
+       litedramcore_we = 1'd0;
        case (state)
                1'd1: begin
                end
                default: begin
                        if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin
-                               litedramcore_adr = litedramcore_wishbone_adr;
+                               litedramcore_we = (litedramcore_wishbone_we & (litedramcore_wishbone_sel != 1'd0));
                        end
                end
        endcase
 end
 always @(*) begin
-       litedramcore_we = 1'd0;
+       litedramcore_wishbone_ack = 1'd0;
        case (state)
                1'd1: begin
+                       litedramcore_wishbone_ack = 1'd1;
                end
                default: begin
-                       if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin
-                               litedramcore_we = litedramcore_wishbone_we;
-                       end
                end
        endcase
 end
 always @(*) begin
-       litedramcore_wishbone_ack = 1'd0;
+       litedramcore_adr = 14'd0;
        case (state)
                1'd1: begin
-                       litedramcore_wishbone_ack = 1'd1;
                end
                default: begin
+                       if ((litedramcore_wishbone_cyc & litedramcore_wishbone_stb)) begin
+                               litedramcore_adr = litedramcore_wishbone_adr;
+                       end
                end
        endcase
 end
@@ -2381,36 +2093,36 @@ always @(*) begin
        ddrphy_writes0[3] = ddrphy_dfiphasemodel3_write;
 end
 always @(*) begin
-       ddrphy_bank_write0 = 1'd0;
+       ddrphy_bank_write_col0 = 10'd0;
        case (ddrphy_writes0)
                1'd1: begin
-                       ddrphy_bank_write0 = (ddrphy_dfi_p0_bank == 1'd0);
+                       ddrphy_bank_write_col0 = ddrphy_dfi_p0_address;
                end
                2'd2: begin
-                       ddrphy_bank_write0 = (ddrphy_dfi_p1_bank == 1'd0);
+                       ddrphy_bank_write_col0 = ddrphy_dfi_p1_address;
                end
                3'd4: begin
-                       ddrphy_bank_write0 = (ddrphy_dfi_p2_bank == 1'd0);
+                       ddrphy_bank_write_col0 = ddrphy_dfi_p2_address;
                end
                4'd8: begin
-                       ddrphy_bank_write0 = (ddrphy_dfi_p3_bank == 1'd0);
+                       ddrphy_bank_write_col0 = ddrphy_dfi_p3_address;
                end
        endcase
 end
 always @(*) begin
-       ddrphy_bank_write_col0 = 10'd0;
+       ddrphy_bank_write0 = 1'd0;
        case (ddrphy_writes0)
                1'd1: begin
-                       ddrphy_bank_write_col0 = ddrphy_dfi_p0_address;
+                       ddrphy_bank_write0 = (ddrphy_dfi_p0_bank == 1'd0);
                end
                2'd2: begin
-                       ddrphy_bank_write_col0 = ddrphy_dfi_p1_address;
+                       ddrphy_bank_write0 = (ddrphy_dfi_p1_bank == 1'd0);
                end
                3'd4: begin
-                       ddrphy_bank_write_col0 = ddrphy_dfi_p2_address;
+                       ddrphy_bank_write0 = (ddrphy_dfi_p2_bank == 1'd0);
                end
                4'd8: begin
-                       ddrphy_bank_write_col0 = ddrphy_dfi_p3_address;
+                       ddrphy_bank_write0 = (ddrphy_dfi_p3_bank == 1'd0);
                end
        endcase
 end
@@ -2426,36 +2138,36 @@ always @(*) begin
        ddrphy_reads0[3] = ddrphy_dfiphasemodel3_read;
 end
 always @(*) begin
-       ddrphy_bankmodel0_read_col = 10'd0;
+       ddrphy_bankmodel0_read = 1'd0;
        case (ddrphy_reads0)
                1'd1: begin
-                       ddrphy_bankmodel0_read_col = ddrphy_dfi_p0_address;
+                       ddrphy_bankmodel0_read = (ddrphy_dfi_p0_bank == 1'd0);
                end
                2'd2: begin
-                       ddrphy_bankmodel0_read_col = ddrphy_dfi_p1_address;
+                       ddrphy_bankmodel0_read = (ddrphy_dfi_p1_bank == 1'd0);
                end
                3'd4: begin
-                       ddrphy_bankmodel0_read_col = ddrphy_dfi_p2_address;
+                       ddrphy_bankmodel0_read = (ddrphy_dfi_p2_bank == 1'd0);
                end
                4'd8: begin
-                       ddrphy_bankmodel0_read_col = ddrphy_dfi_p3_address;
+                       ddrphy_bankmodel0_read = (ddrphy_dfi_p3_bank == 1'd0);
                end
        endcase
 end
 always @(*) begin
-       ddrphy_bankmodel0_read = 1'd0;
+       ddrphy_bankmodel0_read_col = 10'd0;
        case (ddrphy_reads0)
                1'd1: begin
-                       ddrphy_bankmodel0_read = (ddrphy_dfi_p0_bank == 1'd0);
+                       ddrphy_bankmodel0_read_col = ddrphy_dfi_p0_address;
                end
                2'd2: begin
-                       ddrphy_bankmodel0_read = (ddrphy_dfi_p1_bank == 1'd0);
+                       ddrphy_bankmodel0_read_col = ddrphy_dfi_p1_address;
                end
                3'd4: begin
-                       ddrphy_bankmodel0_read = (ddrphy_dfi_p2_bank == 1'd0);
+                       ddrphy_bankmodel0_read_col = ddrphy_dfi_p2_address;
                end
                4'd8: begin
-                       ddrphy_bankmodel0_read = (ddrphy_dfi_p3_bank == 1'd0);
+                       ddrphy_bankmodel0_read_col = ddrphy_dfi_p3_address;
                end
        endcase
 end
@@ -2618,36 +2330,36 @@ always @(*) begin
        ddrphy_activates2[3] = ddrphy_dfiphasemodel3_activate;
 end
 always @(*) begin
-       ddrphy_bankmodel2_activate_row = 14'd0;
+       ddrphy_bankmodel2_activate = 1'd0;
        case (ddrphy_activates2)
                1'd1: begin
-                       ddrphy_bankmodel2_activate_row = ddrphy_dfi_p0_address;
+                       ddrphy_bankmodel2_activate = (ddrphy_dfi_p0_bank == 2'd2);
                end
                2'd2: begin
-                       ddrphy_bankmodel2_activate_row = ddrphy_dfi_p1_address;
+                       ddrphy_bankmodel2_activate = (ddrphy_dfi_p1_bank == 2'd2);
                end
                3'd4: begin
-                       ddrphy_bankmodel2_activate_row = ddrphy_dfi_p2_address;
+                       ddrphy_bankmodel2_activate = (ddrphy_dfi_p2_bank == 2'd2);
                end
                4'd8: begin
-                       ddrphy_bankmodel2_activate_row = ddrphy_dfi_p3_address;
+                       ddrphy_bankmodel2_activate = (ddrphy_dfi_p3_bank == 2'd2);
                end
        endcase
 end
 always @(*) begin
-       ddrphy_bankmodel2_activate = 1'd0;
+       ddrphy_bankmodel2_activate_row = 14'd0;
        case (ddrphy_activates2)
                1'd1: begin
-                       ddrphy_bankmodel2_activate = (ddrphy_dfi_p0_bank == 2'd2);
+                       ddrphy_bankmodel2_activate_row = ddrphy_dfi_p0_address;
                end
                2'd2: begin
-                       ddrphy_bankmodel2_activate = (ddrphy_dfi_p1_bank == 2'd2);
+                       ddrphy_bankmodel2_activate_row = ddrphy_dfi_p1_address;
                end
                3'd4: begin
-                       ddrphy_bankmodel2_activate = (ddrphy_dfi_p2_bank == 2'd2);
+                       ddrphy_bankmodel2_activate_row = ddrphy_dfi_p2_address;
                end
                4'd8: begin
-                       ddrphy_bankmodel2_activate = (ddrphy_dfi_p3_bank == 2'd2);
+                       ddrphy_bankmodel2_activate_row = ddrphy_dfi_p3_address;
                end
        endcase
 end
@@ -2728,36 +2440,36 @@ always @(*) begin
        ddrphy_reads2[3] = ddrphy_dfiphasemodel3_read;
 end
 always @(*) begin
-       ddrphy_bankmodel2_read = 1'd0;
+       ddrphy_bankmodel2_read_col = 10'd0;
        case (ddrphy_reads2)
                1'd1: begin
-                       ddrphy_bankmodel2_read = (ddrphy_dfi_p0_bank == 2'd2);
+                       ddrphy_bankmodel2_read_col = ddrphy_dfi_p0_address;
                end
                2'd2: begin
-                       ddrphy_bankmodel2_read = (ddrphy_dfi_p1_bank == 2'd2);
+                       ddrphy_bankmodel2_read_col = ddrphy_dfi_p1_address;
                end
                3'd4: begin
-                       ddrphy_bankmodel2_read = (ddrphy_dfi_p2_bank == 2'd2);
+                       ddrphy_bankmodel2_read_col = ddrphy_dfi_p2_address;
                end
                4'd8: begin
-                       ddrphy_bankmodel2_read = (ddrphy_dfi_p3_bank == 2'd2);
+                       ddrphy_bankmodel2_read_col = ddrphy_dfi_p3_address;
                end
        endcase
 end
 always @(*) begin
-       ddrphy_bankmodel2_read_col = 10'd0;
+       ddrphy_bankmodel2_read = 1'd0;
        case (ddrphy_reads2)
                1'd1: begin
-                       ddrphy_bankmodel2_read_col = ddrphy_dfi_p0_address;
+                       ddrphy_bankmodel2_read = (ddrphy_dfi_p0_bank == 2'd2);
                end
                2'd2: begin
-                       ddrphy_bankmodel2_read_col = ddrphy_dfi_p1_address;
+                       ddrphy_bankmodel2_read = (ddrphy_dfi_p1_bank == 2'd2);
                end
                3'd4: begin
-                       ddrphy_bankmodel2_read_col = ddrphy_dfi_p2_address;
+                       ddrphy_bankmodel2_read = (ddrphy_dfi_p2_bank == 2'd2);
                end
                4'd8: begin
-                       ddrphy_bankmodel2_read_col = ddrphy_dfi_p3_address;
+                       ddrphy_bankmodel2_read = (ddrphy_dfi_p3_bank == 2'd2);
                end
        endcase
 end
@@ -2834,36 +2546,36 @@ always @(*) begin
        ddrphy_writes3[3] = ddrphy_dfiphasemodel3_write;
 end
 always @(*) begin
-       ddrphy_bank_write_col3 = 10'd0;
+       ddrphy_bank_write3 = 1'd0;
        case (ddrphy_writes3)
                1'd1: begin
-                       ddrphy_bank_write_col3 = ddrphy_dfi_p0_address;
+                       ddrphy_bank_write3 = (ddrphy_dfi_p0_bank == 2'd3);
                end
                2'd2: begin
-                       ddrphy_bank_write_col3 = ddrphy_dfi_p1_address;
+                       ddrphy_bank_write3 = (ddrphy_dfi_p1_bank == 2'd3);
                end
                3'd4: begin
-                       ddrphy_bank_write_col3 = ddrphy_dfi_p2_address;
+                       ddrphy_bank_write3 = (ddrphy_dfi_p2_bank == 2'd3);
                end
                4'd8: begin
-                       ddrphy_bank_write_col3 = ddrphy_dfi_p3_address;
+                       ddrphy_bank_write3 = (ddrphy_dfi_p3_bank == 2'd3);
                end
        endcase
 end
 always @(*) begin
-       ddrphy_bank_write3 = 1'd0;
+       ddrphy_bank_write_col3 = 10'd0;
        case (ddrphy_writes3)
                1'd1: begin
-                       ddrphy_bank_write3 = (ddrphy_dfi_p0_bank == 2'd3);
+                       ddrphy_bank_write_col3 = ddrphy_dfi_p0_address;
                end
                2'd2: begin
-                       ddrphy_bank_write3 = (ddrphy_dfi_p1_bank == 2'd3);
+                       ddrphy_bank_write_col3 = ddrphy_dfi_p1_address;
                end
                3'd4: begin
-                       ddrphy_bank_write3 = (ddrphy_dfi_p2_bank == 2'd3);
+                       ddrphy_bank_write_col3 = ddrphy_dfi_p2_address;
                end
                4'd8: begin
-                       ddrphy_bank_write3 = (ddrphy_dfi_p3_bank == 2'd3);
+                       ddrphy_bank_write_col3 = ddrphy_dfi_p3_address;
                end
        endcase
 end
@@ -2920,36 +2632,36 @@ always @(*) begin
        ddrphy_activates4[3] = ddrphy_dfiphasemodel3_activate;
 end
 always @(*) begin
-       ddrphy_bankmodel4_activate = 1'd0;
+       ddrphy_bankmodel4_activate_row = 14'd0;
        case (ddrphy_activates4)
                1'd1: begin
-                       ddrphy_bankmodel4_activate = (ddrphy_dfi_p0_bank == 3'd4);
+                       ddrphy_bankmodel4_activate_row = ddrphy_dfi_p0_address;
                end
                2'd2: begin
-                       ddrphy_bankmodel4_activate = (ddrphy_dfi_p1_bank == 3'd4);
+                       ddrphy_bankmodel4_activate_row = ddrphy_dfi_p1_address;
                end
                3'd4: begin
-                       ddrphy_bankmodel4_activate = (ddrphy_dfi_p2_bank == 3'd4);
+                       ddrphy_bankmodel4_activate_row = ddrphy_dfi_p2_address;
                end
                4'd8: begin
-                       ddrphy_bankmodel4_activate = (ddrphy_dfi_p3_bank == 3'd4);
+                       ddrphy_bankmodel4_activate_row = ddrphy_dfi_p3_address;
                end
        endcase
 end
 always @(*) begin
-       ddrphy_bankmodel4_activate_row = 14'd0;
+       ddrphy_bankmodel4_activate = 1'd0;
        case (ddrphy_activates4)
                1'd1: begin
-                       ddrphy_bankmodel4_activate_row = ddrphy_dfi_p0_address;
+                       ddrphy_bankmodel4_activate = (ddrphy_dfi_p0_bank == 3'd4);
                end
                2'd2: begin
-                       ddrphy_bankmodel4_activate_row = ddrphy_dfi_p1_address;
+                       ddrphy_bankmodel4_activate = (ddrphy_dfi_p1_bank == 3'd4);
                end
                3'd4: begin
-                       ddrphy_bankmodel4_activate_row = ddrphy_dfi_p2_address;
+                       ddrphy_bankmodel4_activate = (ddrphy_dfi_p2_bank == 3'd4);
                end
                4'd8: begin
-                       ddrphy_bankmodel4_activate_row = ddrphy_dfi_p3_address;
+                       ddrphy_bankmodel4_activate = (ddrphy_dfi_p3_bank == 3'd4);
                end
        endcase
 end
@@ -2985,36 +2697,36 @@ always @(*) begin
        ddrphy_writes4[3] = ddrphy_dfiphasemodel3_write;
 end
 always @(*) begin
-       ddrphy_bank_write4 = 1'd0;
+       ddrphy_bank_write_col4 = 10'd0;
        case (ddrphy_writes4)
                1'd1: begin
-                       ddrphy_bank_write4 = (ddrphy_dfi_p0_bank == 3'd4);
+                       ddrphy_bank_write_col4 = ddrphy_dfi_p0_address;
                end
                2'd2: begin
-                       ddrphy_bank_write4 = (ddrphy_dfi_p1_bank == 3'd4);
+                       ddrphy_bank_write_col4 = ddrphy_dfi_p1_address;
                end
                3'd4: begin
-                       ddrphy_bank_write4 = (ddrphy_dfi_p2_bank == 3'd4);
+                       ddrphy_bank_write_col4 = ddrphy_dfi_p2_address;
                end
                4'd8: begin
-                       ddrphy_bank_write4 = (ddrphy_dfi_p3_bank == 3'd4);
+                       ddrphy_bank_write_col4 = ddrphy_dfi_p3_address;
                end
        endcase
 end
 always @(*) begin
-       ddrphy_bank_write_col4 = 10'd0;
+       ddrphy_bank_write4 = 1'd0;
        case (ddrphy_writes4)
                1'd1: begin
-                       ddrphy_bank_write_col4 = ddrphy_dfi_p0_address;
+                       ddrphy_bank_write4 = (ddrphy_dfi_p0_bank == 3'd4);
                end
                2'd2: begin
-                       ddrphy_bank_write_col4 = ddrphy_dfi_p1_address;
+                       ddrphy_bank_write4 = (ddrphy_dfi_p1_bank == 3'd4);
                end
                3'd4: begin
-                       ddrphy_bank_write_col4 = ddrphy_dfi_p2_address;
+                       ddrphy_bank_write4 = (ddrphy_dfi_p2_bank == 3'd4);
                end
                4'd8: begin
-                       ddrphy_bank_write_col4 = ddrphy_dfi_p3_address;
+                       ddrphy_bank_write4 = (ddrphy_dfi_p3_bank == 3'd4);
                end
        endcase
 end
@@ -3438,36 +3150,36 @@ always @(*) begin
        ddrphy_writes7[3] = ddrphy_dfiphasemodel3_write;
 end
 always @(*) begin
-       ddrphy_bank_write_col7 = 10'd0;
+       ddrphy_bank_write7 = 1'd0;
        case (ddrphy_writes7)
                1'd1: begin
-                       ddrphy_bank_write_col7 = ddrphy_dfi_p0_address;
+                       ddrphy_bank_write7 = (ddrphy_dfi_p0_bank == 3'd7);
                end
                2'd2: begin
-                       ddrphy_bank_write_col7 = ddrphy_dfi_p1_address;
+                       ddrphy_bank_write7 = (ddrphy_dfi_p1_bank == 3'd7);
                end
                3'd4: begin
-                       ddrphy_bank_write_col7 = ddrphy_dfi_p2_address;
+                       ddrphy_bank_write7 = (ddrphy_dfi_p2_bank == 3'd7);
                end
                4'd8: begin
-                       ddrphy_bank_write_col7 = ddrphy_dfi_p3_address;
+                       ddrphy_bank_write7 = (ddrphy_dfi_p3_bank == 3'd7);
                end
        endcase
 end
 always @(*) begin
-       ddrphy_bank_write7 = 1'd0;
+       ddrphy_bank_write_col7 = 10'd0;
        case (ddrphy_writes7)
                1'd1: begin
-                       ddrphy_bank_write7 = (ddrphy_dfi_p0_bank == 3'd7);
+                       ddrphy_bank_write_col7 = ddrphy_dfi_p0_address;
                end
                2'd2: begin
-                       ddrphy_bank_write7 = (ddrphy_dfi_p1_bank == 3'd7);
+                       ddrphy_bank_write_col7 = ddrphy_dfi_p1_address;
                end
                3'd4: begin
-                       ddrphy_bank_write7 = (ddrphy_dfi_p2_bank == 3'd7);
+                       ddrphy_bank_write_col7 = ddrphy_dfi_p2_address;
                end
                4'd8: begin
-                       ddrphy_bank_write7 = (ddrphy_dfi_p3_bank == 3'd7);
+                       ddrphy_bank_write_col7 = ddrphy_dfi_p3_address;
                end
        endcase
 end
@@ -3622,250 +3334,6 @@ always @(*) begin
                ddrphy_dfiphasemodel3_read = ddrphy_dfi_p3_we_n;
        end
 end
-assign ddrphy_dfitimingschecker_ps0 = ((ddrphy_dfitimingschecker_cnt + 1'd0) * 12'd2500);
-assign ddrphy_dfitimingschecker_state0 = {ddrphy_dfi_p0_cs_n, ddrphy_dfi_p0_ras_n, ddrphy_dfi_p0_cas_n, ddrphy_dfi_p0_we_n};
-assign ddrphy_dfitimingschecker_all_banks0 = ((ddrphy_dfitimingschecker_state0 == 1'd1) | ((ddrphy_dfitimingschecker_state0 == 2'd2) & ddrphy_dfi_p0_address[10]));
-always @(*) begin
-       ddrphy_dfitimingschecker_ref_issued = 4'd0;
-       ddrphy_dfitimingschecker_ref_issued[0] = (ddrphy_dfitimingschecker_state0 == 1'd1);
-       ddrphy_dfitimingschecker_ref_issued[1] = (ddrphy_dfitimingschecker_state1 == 1'd1);
-       ddrphy_dfitimingschecker_ref_issued[2] = (ddrphy_dfitimingschecker_state2 == 1'd1);
-       ddrphy_dfitimingschecker_ref_issued[3] = (ddrphy_dfitimingschecker_state3 == 1'd1);
-end
-assign ddrphy_dfitimingschecker_cmd_recv0 = (((ddrphy_dfi_p0_bank == 1'd0) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv1 = (((ddrphy_dfi_p0_bank == 1'd0) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv2 = (((ddrphy_dfi_p0_bank == 1'd0) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next0 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv3 = (((ddrphy_dfi_p0_bank == 1'd0) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv4 = (((ddrphy_dfi_p0_bank == 1'd0) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv5 = (((ddrphy_dfi_p0_bank == 1'd0) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv6 = (((ddrphy_dfi_p0_bank == 1'd1) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv7 = (((ddrphy_dfi_p0_bank == 1'd1) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv8 = (((ddrphy_dfi_p0_bank == 1'd1) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next1 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv9 = (((ddrphy_dfi_p0_bank == 1'd1) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv10 = (((ddrphy_dfi_p0_bank == 1'd1) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv11 = (((ddrphy_dfi_p0_bank == 1'd1) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv12 = (((ddrphy_dfi_p0_bank == 2'd2) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv13 = (((ddrphy_dfi_p0_bank == 2'd2) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv14 = (((ddrphy_dfi_p0_bank == 2'd2) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next2 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv15 = (((ddrphy_dfi_p0_bank == 2'd2) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv16 = (((ddrphy_dfi_p0_bank == 2'd2) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv17 = (((ddrphy_dfi_p0_bank == 2'd2) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv18 = (((ddrphy_dfi_p0_bank == 2'd3) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv19 = (((ddrphy_dfi_p0_bank == 2'd3) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv20 = (((ddrphy_dfi_p0_bank == 2'd3) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next3 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv21 = (((ddrphy_dfi_p0_bank == 2'd3) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv22 = (((ddrphy_dfi_p0_bank == 2'd3) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv23 = (((ddrphy_dfi_p0_bank == 2'd3) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv24 = (((ddrphy_dfi_p0_bank == 3'd4) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv25 = (((ddrphy_dfi_p0_bank == 3'd4) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv26 = (((ddrphy_dfi_p0_bank == 3'd4) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next4 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv27 = (((ddrphy_dfi_p0_bank == 3'd4) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv28 = (((ddrphy_dfi_p0_bank == 3'd4) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv29 = (((ddrphy_dfi_p0_bank == 3'd4) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv30 = (((ddrphy_dfi_p0_bank == 3'd5) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv31 = (((ddrphy_dfi_p0_bank == 3'd5) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv32 = (((ddrphy_dfi_p0_bank == 3'd5) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next5 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv33 = (((ddrphy_dfi_p0_bank == 3'd5) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv34 = (((ddrphy_dfi_p0_bank == 3'd5) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv35 = (((ddrphy_dfi_p0_bank == 3'd5) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv36 = (((ddrphy_dfi_p0_bank == 3'd6) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv37 = (((ddrphy_dfi_p0_bank == 3'd6) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv38 = (((ddrphy_dfi_p0_bank == 3'd6) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next6 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv39 = (((ddrphy_dfi_p0_bank == 3'd6) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv40 = (((ddrphy_dfi_p0_bank == 3'd6) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv41 = (((ddrphy_dfi_p0_bank == 3'd6) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv42 = (((ddrphy_dfi_p0_bank == 3'd7) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv43 = (((ddrphy_dfi_p0_bank == 3'd7) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv44 = (((ddrphy_dfi_p0_bank == 3'd7) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next7 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv45 = (((ddrphy_dfi_p0_bank == 3'd7) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv46 = (((ddrphy_dfi_p0_bank == 3'd7) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv47 = (((ddrphy_dfi_p0_bank == 3'd7) | ddrphy_dfitimingschecker_all_banks0) & (ddrphy_dfitimingschecker_state0 == 3'd6));
-assign ddrphy_dfitimingschecker_ps1 = ((ddrphy_dfitimingschecker_cnt + 1'd1) * 12'd2500);
-assign ddrphy_dfitimingschecker_state1 = {ddrphy_dfi_p1_cs_n, ddrphy_dfi_p1_ras_n, ddrphy_dfi_p1_cas_n, ddrphy_dfi_p1_we_n};
-assign ddrphy_dfitimingschecker_all_banks1 = ((ddrphy_dfitimingschecker_state1 == 1'd1) | ((ddrphy_dfitimingschecker_state1 == 2'd2) & ddrphy_dfi_p1_address[10]));
-assign ddrphy_dfitimingschecker_cmd_recv48 = (((ddrphy_dfi_p1_bank == 1'd0) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv49 = (((ddrphy_dfi_p1_bank == 1'd0) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv50 = (((ddrphy_dfi_p1_bank == 1'd0) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next8 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv51 = (((ddrphy_dfi_p1_bank == 1'd0) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv52 = (((ddrphy_dfi_p1_bank == 1'd0) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv53 = (((ddrphy_dfi_p1_bank == 1'd0) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv54 = (((ddrphy_dfi_p1_bank == 1'd1) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv55 = (((ddrphy_dfi_p1_bank == 1'd1) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv56 = (((ddrphy_dfi_p1_bank == 1'd1) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next9 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv57 = (((ddrphy_dfi_p1_bank == 1'd1) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv58 = (((ddrphy_dfi_p1_bank == 1'd1) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv59 = (((ddrphy_dfi_p1_bank == 1'd1) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv60 = (((ddrphy_dfi_p1_bank == 2'd2) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv61 = (((ddrphy_dfi_p1_bank == 2'd2) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv62 = (((ddrphy_dfi_p1_bank == 2'd2) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next10 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv63 = (((ddrphy_dfi_p1_bank == 2'd2) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv64 = (((ddrphy_dfi_p1_bank == 2'd2) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv65 = (((ddrphy_dfi_p1_bank == 2'd2) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv66 = (((ddrphy_dfi_p1_bank == 2'd3) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv67 = (((ddrphy_dfi_p1_bank == 2'd3) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv68 = (((ddrphy_dfi_p1_bank == 2'd3) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next11 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv69 = (((ddrphy_dfi_p1_bank == 2'd3) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv70 = (((ddrphy_dfi_p1_bank == 2'd3) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv71 = (((ddrphy_dfi_p1_bank == 2'd3) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv72 = (((ddrphy_dfi_p1_bank == 3'd4) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv73 = (((ddrphy_dfi_p1_bank == 3'd4) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv74 = (((ddrphy_dfi_p1_bank == 3'd4) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next12 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv75 = (((ddrphy_dfi_p1_bank == 3'd4) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv76 = (((ddrphy_dfi_p1_bank == 3'd4) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv77 = (((ddrphy_dfi_p1_bank == 3'd4) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv78 = (((ddrphy_dfi_p1_bank == 3'd5) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv79 = (((ddrphy_dfi_p1_bank == 3'd5) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv80 = (((ddrphy_dfi_p1_bank == 3'd5) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next13 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv81 = (((ddrphy_dfi_p1_bank == 3'd5) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv82 = (((ddrphy_dfi_p1_bank == 3'd5) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv83 = (((ddrphy_dfi_p1_bank == 3'd5) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv84 = (((ddrphy_dfi_p1_bank == 3'd6) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv85 = (((ddrphy_dfi_p1_bank == 3'd6) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv86 = (((ddrphy_dfi_p1_bank == 3'd6) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next14 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv87 = (((ddrphy_dfi_p1_bank == 3'd6) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv88 = (((ddrphy_dfi_p1_bank == 3'd6) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv89 = (((ddrphy_dfi_p1_bank == 3'd6) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv90 = (((ddrphy_dfi_p1_bank == 3'd7) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv91 = (((ddrphy_dfi_p1_bank == 3'd7) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv92 = (((ddrphy_dfi_p1_bank == 3'd7) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next15 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv93 = (((ddrphy_dfi_p1_bank == 3'd7) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv94 = (((ddrphy_dfi_p1_bank == 3'd7) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv95 = (((ddrphy_dfi_p1_bank == 3'd7) | ddrphy_dfitimingschecker_all_banks1) & (ddrphy_dfitimingschecker_state1 == 3'd6));
-assign ddrphy_dfitimingschecker_ps2 = ((ddrphy_dfitimingschecker_cnt + 2'd2) * 12'd2500);
-assign ddrphy_dfitimingschecker_state2 = {ddrphy_dfi_p2_cs_n, ddrphy_dfi_p2_ras_n, ddrphy_dfi_p2_cas_n, ddrphy_dfi_p2_we_n};
-assign ddrphy_dfitimingschecker_all_banks2 = ((ddrphy_dfitimingschecker_state2 == 1'd1) | ((ddrphy_dfitimingschecker_state2 == 2'd2) & ddrphy_dfi_p2_address[10]));
-assign ddrphy_dfitimingschecker_cmd_recv96 = (((ddrphy_dfi_p2_bank == 1'd0) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv97 = (((ddrphy_dfi_p2_bank == 1'd0) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv98 = (((ddrphy_dfi_p2_bank == 1'd0) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next16 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv99 = (((ddrphy_dfi_p2_bank == 1'd0) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv100 = (((ddrphy_dfi_p2_bank == 1'd0) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv101 = (((ddrphy_dfi_p2_bank == 1'd0) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv102 = (((ddrphy_dfi_p2_bank == 1'd1) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv103 = (((ddrphy_dfi_p2_bank == 1'd1) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv104 = (((ddrphy_dfi_p2_bank == 1'd1) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next17 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv105 = (((ddrphy_dfi_p2_bank == 1'd1) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv106 = (((ddrphy_dfi_p2_bank == 1'd1) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv107 = (((ddrphy_dfi_p2_bank == 1'd1) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv108 = (((ddrphy_dfi_p2_bank == 2'd2) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv109 = (((ddrphy_dfi_p2_bank == 2'd2) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv110 = (((ddrphy_dfi_p2_bank == 2'd2) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next18 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv111 = (((ddrphy_dfi_p2_bank == 2'd2) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv112 = (((ddrphy_dfi_p2_bank == 2'd2) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv113 = (((ddrphy_dfi_p2_bank == 2'd2) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv114 = (((ddrphy_dfi_p2_bank == 2'd3) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv115 = (((ddrphy_dfi_p2_bank == 2'd3) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv116 = (((ddrphy_dfi_p2_bank == 2'd3) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next19 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv117 = (((ddrphy_dfi_p2_bank == 2'd3) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv118 = (((ddrphy_dfi_p2_bank == 2'd3) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv119 = (((ddrphy_dfi_p2_bank == 2'd3) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv120 = (((ddrphy_dfi_p2_bank == 3'd4) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv121 = (((ddrphy_dfi_p2_bank == 3'd4) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv122 = (((ddrphy_dfi_p2_bank == 3'd4) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next20 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv123 = (((ddrphy_dfi_p2_bank == 3'd4) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv124 = (((ddrphy_dfi_p2_bank == 3'd4) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv125 = (((ddrphy_dfi_p2_bank == 3'd4) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv126 = (((ddrphy_dfi_p2_bank == 3'd5) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv127 = (((ddrphy_dfi_p2_bank == 3'd5) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv128 = (((ddrphy_dfi_p2_bank == 3'd5) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next21 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv129 = (((ddrphy_dfi_p2_bank == 3'd5) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv130 = (((ddrphy_dfi_p2_bank == 3'd5) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv131 = (((ddrphy_dfi_p2_bank == 3'd5) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv132 = (((ddrphy_dfi_p2_bank == 3'd6) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv133 = (((ddrphy_dfi_p2_bank == 3'd6) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv134 = (((ddrphy_dfi_p2_bank == 3'd6) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next22 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv135 = (((ddrphy_dfi_p2_bank == 3'd6) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv136 = (((ddrphy_dfi_p2_bank == 3'd6) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv137 = (((ddrphy_dfi_p2_bank == 3'd6) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv138 = (((ddrphy_dfi_p2_bank == 3'd7) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv139 = (((ddrphy_dfi_p2_bank == 3'd7) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv140 = (((ddrphy_dfi_p2_bank == 3'd7) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next23 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv141 = (((ddrphy_dfi_p2_bank == 3'd7) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv142 = (((ddrphy_dfi_p2_bank == 3'd7) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv143 = (((ddrphy_dfi_p2_bank == 3'd7) | ddrphy_dfitimingschecker_all_banks2) & (ddrphy_dfitimingschecker_state2 == 3'd6));
-assign ddrphy_dfitimingschecker_ps3 = ((ddrphy_dfitimingschecker_cnt + 2'd3) * 12'd2500);
-assign ddrphy_dfitimingschecker_state3 = {ddrphy_dfi_p3_cs_n, ddrphy_dfi_p3_ras_n, ddrphy_dfi_p3_cas_n, ddrphy_dfi_p3_we_n};
-assign ddrphy_dfitimingschecker_all_banks3 = ((ddrphy_dfitimingschecker_state3 == 1'd1) | ((ddrphy_dfitimingschecker_state3 == 2'd2) & ddrphy_dfi_p3_address[10]));
-assign ddrphy_dfitimingschecker_cmd_recv144 = (((ddrphy_dfi_p3_bank == 1'd0) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv145 = (((ddrphy_dfi_p3_bank == 1'd0) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv146 = (((ddrphy_dfi_p3_bank == 1'd0) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next24 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv147 = (((ddrphy_dfi_p3_bank == 1'd0) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv148 = (((ddrphy_dfi_p3_bank == 1'd0) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv149 = (((ddrphy_dfi_p3_bank == 1'd0) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv150 = (((ddrphy_dfi_p3_bank == 1'd1) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv151 = (((ddrphy_dfi_p3_bank == 1'd1) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv152 = (((ddrphy_dfi_p3_bank == 1'd1) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next25 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv153 = (((ddrphy_dfi_p3_bank == 1'd1) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv154 = (((ddrphy_dfi_p3_bank == 1'd1) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv155 = (((ddrphy_dfi_p3_bank == 1'd1) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv156 = (((ddrphy_dfi_p3_bank == 2'd2) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv157 = (((ddrphy_dfi_p3_bank == 2'd2) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv158 = (((ddrphy_dfi_p3_bank == 2'd2) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next26 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv159 = (((ddrphy_dfi_p3_bank == 2'd2) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv160 = (((ddrphy_dfi_p3_bank == 2'd2) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv161 = (((ddrphy_dfi_p3_bank == 2'd2) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv162 = (((ddrphy_dfi_p3_bank == 2'd3) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv163 = (((ddrphy_dfi_p3_bank == 2'd3) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv164 = (((ddrphy_dfi_p3_bank == 2'd3) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next27 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv165 = (((ddrphy_dfi_p3_bank == 2'd3) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv166 = (((ddrphy_dfi_p3_bank == 2'd3) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv167 = (((ddrphy_dfi_p3_bank == 2'd3) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv168 = (((ddrphy_dfi_p3_bank == 3'd4) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv169 = (((ddrphy_dfi_p3_bank == 3'd4) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv170 = (((ddrphy_dfi_p3_bank == 3'd4) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next28 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv171 = (((ddrphy_dfi_p3_bank == 3'd4) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv172 = (((ddrphy_dfi_p3_bank == 3'd4) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv173 = (((ddrphy_dfi_p3_bank == 3'd4) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv174 = (((ddrphy_dfi_p3_bank == 3'd5) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv175 = (((ddrphy_dfi_p3_bank == 3'd5) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv176 = (((ddrphy_dfi_p3_bank == 3'd5) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next29 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv177 = (((ddrphy_dfi_p3_bank == 3'd5) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv178 = (((ddrphy_dfi_p3_bank == 3'd5) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv179 = (((ddrphy_dfi_p3_bank == 3'd5) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv180 = (((ddrphy_dfi_p3_bank == 3'd6) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv181 = (((ddrphy_dfi_p3_bank == 3'd6) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv182 = (((ddrphy_dfi_p3_bank == 3'd6) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next30 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv183 = (((ddrphy_dfi_p3_bank == 3'd6) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv184 = (((ddrphy_dfi_p3_bank == 3'd6) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv185 = (((ddrphy_dfi_p3_bank == 3'd6) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 3'd6));
-assign ddrphy_dfitimingschecker_cmd_recv186 = (((ddrphy_dfi_p3_bank == 3'd7) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 2'd2));
-assign ddrphy_dfitimingschecker_cmd_recv187 = (((ddrphy_dfi_p3_bank == 3'd7) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 1'd1));
-assign ddrphy_dfitimingschecker_cmd_recv188 = (((ddrphy_dfi_p3_bank == 3'd7) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 2'd3));
-assign ddrphy_dfitimingschecker_act_next31 = (ddrphy_dfitimingschecker_act_curr + 1'd1);
-assign ddrphy_dfitimingschecker_cmd_recv189 = (((ddrphy_dfi_p3_bank == 3'd7) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 3'd5));
-assign ddrphy_dfitimingschecker_cmd_recv190 = (((ddrphy_dfi_p3_bank == 3'd7) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 3'd4));
-assign ddrphy_dfitimingschecker_cmd_recv191 = (((ddrphy_dfi_p3_bank == 3'd7) | ddrphy_dfitimingschecker_all_banks3) & (ddrphy_dfitimingschecker_state3 == 3'd6));
-assign ddrphy_dfitimingschecker_curr_diff = (ddrphy_dfitimingschecker_ps3 - (ddrphy_dfitimingschecker_ref_ps + 23'd7812500));
 assign ddrphy_bankmodel0_wraddr = slice_proxy0[24:3];
 assign ddrphy_bankmodel0_rdaddr = slice_proxy1[24:3];
 always @(*) begin
@@ -4315,119 +3783,267 @@ assign litedramcore_slave_p3_rddata_en = litedramcore_dfi_p3_rddata_en;
 assign litedramcore_dfi_p3_rddata = litedramcore_slave_p3_rddata;
 assign litedramcore_dfi_p3_rddata_valid = litedramcore_slave_p3_rddata_valid;
 always @(*) begin
-       litedramcore_master_p2_ras_n = 1'd1;
+       litedramcore_master_p1_cs_n = 1'd1;
        if (litedramcore_storage[0]) begin
-               litedramcore_master_p2_ras_n = litedramcore_slave_p2_ras_n;
+               litedramcore_master_p1_cs_n = litedramcore_slave_p1_cs_n;
        end else begin
-               litedramcore_master_p2_ras_n = litedramcore_inti_p2_ras_n;
+               litedramcore_master_p1_cs_n = litedramcore_inti_p1_cs_n;
        end
 end
 always @(*) begin
-       litedramcore_slave_p2_rddata = 32'd0;
+       litedramcore_master_p1_ras_n = 1'd1;
        if (litedramcore_storage[0]) begin
-               litedramcore_slave_p2_rddata = litedramcore_master_p2_rddata;
+               litedramcore_master_p1_ras_n = litedramcore_slave_p1_ras_n;
        end else begin
+               litedramcore_master_p1_ras_n = litedramcore_inti_p1_ras_n;
        end
 end
 always @(*) begin
-       litedramcore_master_p2_we_n = 1'd1;
+       litedramcore_slave_p1_rddata = 32'd0;
        if (litedramcore_storage[0]) begin
-               litedramcore_master_p2_we_n = litedramcore_slave_p2_we_n;
+               litedramcore_slave_p1_rddata = litedramcore_master_p1_rddata;
        end else begin
-               litedramcore_master_p2_we_n = litedramcore_inti_p2_we_n;
        end
 end
 always @(*) begin
-       litedramcore_slave_p2_rddata_valid = 1'd0;
+       litedramcore_master_p1_we_n = 1'd1;
        if (litedramcore_storage[0]) begin
-               litedramcore_slave_p2_rddata_valid = litedramcore_master_p2_rddata_valid;
+               litedramcore_master_p1_we_n = litedramcore_slave_p1_we_n;
        end else begin
+               litedramcore_master_p1_we_n = litedramcore_inti_p1_we_n;
        end
 end
 always @(*) begin
-       litedramcore_master_p2_cke = 1'd0;
+       litedramcore_slave_p1_rddata_valid = 1'd0;
        if (litedramcore_storage[0]) begin
-               litedramcore_master_p2_cke = litedramcore_slave_p2_cke;
+               litedramcore_slave_p1_rddata_valid = litedramcore_master_p1_rddata_valid;
        end else begin
-               litedramcore_master_p2_cke = litedramcore_inti_p2_cke;
        end
 end
 always @(*) begin
-       litedramcore_master_p2_odt = 1'd0;
+       litedramcore_master_p1_cke = 1'd0;
        if (litedramcore_storage[0]) begin
-               litedramcore_master_p2_odt = litedramcore_slave_p2_odt;
+               litedramcore_master_p1_cke = litedramcore_slave_p1_cke;
        end else begin
-               litedramcore_master_p2_odt = litedramcore_inti_p2_odt;
+               litedramcore_master_p1_cke = litedramcore_inti_p1_cke;
        end
 end
 always @(*) begin
-       litedramcore_master_p2_reset_n = 1'd0;
+       litedramcore_master_p1_odt = 1'd0;
        if (litedramcore_storage[0]) begin
-               litedramcore_master_p2_reset_n = litedramcore_slave_p2_reset_n;
+               litedramcore_master_p1_odt = litedramcore_slave_p1_odt;
        end else begin
-               litedramcore_master_p2_reset_n = litedramcore_inti_p2_reset_n;
+               litedramcore_master_p1_odt = litedramcore_inti_p1_odt;
        end
 end
 always @(*) begin
-       litedramcore_master_p2_act_n = 1'd1;
+       litedramcore_master_p1_reset_n = 1'd0;
        if (litedramcore_storage[0]) begin
-               litedramcore_master_p2_act_n = litedramcore_slave_p2_act_n;
+               litedramcore_master_p1_reset_n = litedramcore_slave_p1_reset_n;
        end else begin
-               litedramcore_master_p2_act_n = litedramcore_inti_p2_act_n;
+               litedramcore_master_p1_reset_n = litedramcore_inti_p1_reset_n;
        end
 end
 always @(*) begin
-       litedramcore_master_p2_wrdata = 32'd0;
+       litedramcore_master_p1_act_n = 1'd1;
        if (litedramcore_storage[0]) begin
-               litedramcore_master_p2_wrdata = litedramcore_slave_p2_wrdata;
+               litedramcore_master_p1_act_n = litedramcore_slave_p1_act_n;
        end else begin
-               litedramcore_master_p2_wrdata = litedramcore_inti_p2_wrdata;
+               litedramcore_master_p1_act_n = litedramcore_inti_p1_act_n;
        end
 end
 always @(*) begin
-       litedramcore_inti_p3_rddata = 32'd0;
+       litedramcore_master_p1_wrdata = 32'd0;
        if (litedramcore_storage[0]) begin
+               litedramcore_master_p1_wrdata = litedramcore_slave_p1_wrdata;
        end else begin
-               litedramcore_inti_p3_rddata = litedramcore_master_p3_rddata;
+               litedramcore_master_p1_wrdata = litedramcore_inti_p1_wrdata;
        end
 end
 always @(*) begin
-       litedramcore_master_p2_wrdata_en = 1'd0;
+       litedramcore_inti_p2_rddata = 32'd0;
        if (litedramcore_storage[0]) begin
-               litedramcore_master_p2_wrdata_en = litedramcore_slave_p2_wrdata_en;
        end else begin
-               litedramcore_master_p2_wrdata_en = litedramcore_inti_p2_wrdata_en;
+               litedramcore_inti_p2_rddata = litedramcore_master_p2_rddata;
        end
 end
 always @(*) begin
-       litedramcore_inti_p3_rddata_valid = 1'd0;
+       litedramcore_master_p1_wrdata_en = 1'd0;
        if (litedramcore_storage[0]) begin
+               litedramcore_master_p1_wrdata_en = litedramcore_slave_p1_wrdata_en;
        end else begin
-               litedramcore_inti_p3_rddata_valid = litedramcore_master_p3_rddata_valid;
+               litedramcore_master_p1_wrdata_en = litedramcore_inti_p1_wrdata_en;
        end
 end
 always @(*) begin
-       litedramcore_master_p2_wrdata_mask = 4'd0;
+       litedramcore_inti_p2_rddata_valid = 1'd0;
        if (litedramcore_storage[0]) begin
-               litedramcore_master_p2_wrdata_mask = litedramcore_slave_p2_wrdata_mask;
        end else begin
-               litedramcore_master_p2_wrdata_mask = litedramcore_inti_p2_wrdata_mask;
+               litedramcore_inti_p2_rddata_valid = litedramcore_master_p2_rddata_valid;
        end
 end
 always @(*) begin
-       litedramcore_master_p2_rddata_en = 1'd0;
+       litedramcore_master_p1_wrdata_mask = 4'd0;
        if (litedramcore_storage[0]) begin
-               litedramcore_master_p2_rddata_en = litedramcore_slave_p2_rddata_en;
+               litedramcore_master_p1_wrdata_mask = litedramcore_slave_p1_wrdata_mask;
        end else begin
-               litedramcore_master_p2_rddata_en = litedramcore_inti_p2_rddata_en;
+               litedramcore_master_p1_wrdata_mask = litedramcore_inti_p1_wrdata_mask;
        end
 end
 always @(*) begin
-       litedramcore_master_p3_address = 14'd0;
+       litedramcore_master_p1_rddata_en = 1'd0;
        if (litedramcore_storage[0]) begin
-               litedramcore_master_p3_address = litedramcore_slave_p3_address;
+               litedramcore_master_p1_rddata_en = litedramcore_slave_p1_rddata_en;
        end else begin
-               litedramcore_master_p3_address = litedramcore_inti_p3_address;
+               litedramcore_master_p1_rddata_en = litedramcore_inti_p1_rddata_en;
+       end
+end
+always @(*) begin
+       litedramcore_master_p2_address = 14'd0;
+       if (litedramcore_storage[0]) begin
+               litedramcore_master_p2_address = litedramcore_slave_p2_address;
+       end else begin
+               litedramcore_master_p2_address = litedramcore_inti_p2_address;
+       end
+end
+always @(*) begin
+       litedramcore_master_p2_bank = 3'd0;
+       if (litedramcore_storage[0]) begin
+               litedramcore_master_p2_bank = litedramcore_slave_p2_bank;
+       end else begin
+               litedramcore_master_p2_bank = litedramcore_inti_p2_bank;
+       end
+end
+always @(*) begin
+       litedramcore_master_p2_cas_n = 1'd1;
+       if (litedramcore_storage[0]) begin
+               litedramcore_master_p2_cas_n = litedramcore_slave_p2_cas_n;
+       end else begin
+               litedramcore_master_p2_cas_n = litedramcore_inti_p2_cas_n;
+       end
+end
+always @(*) begin
+       litedramcore_master_p2_cs_n = 1'd1;
+       if (litedramcore_storage[0]) begin
+               litedramcore_master_p2_cs_n = litedramcore_slave_p2_cs_n;
+       end else begin
+               litedramcore_master_p2_cs_n = litedramcore_inti_p2_cs_n;
+       end
+end
+always @(*) begin
+       litedramcore_master_p2_ras_n = 1'd1;
+       if (litedramcore_storage[0]) begin
+               litedramcore_master_p2_ras_n = litedramcore_slave_p2_ras_n;
+       end else begin
+               litedramcore_master_p2_ras_n = litedramcore_inti_p2_ras_n;
+       end
+end
+always @(*) begin
+       litedramcore_slave_p2_rddata = 32'd0;
+       if (litedramcore_storage[0]) begin
+               litedramcore_slave_p2_rddata = litedramcore_master_p2_rddata;
+       end else begin
+       end
+end
+always @(*) begin
+       litedramcore_master_p2_we_n = 1'd1;
+       if (litedramcore_storage[0]) begin
+               litedramcore_master_p2_we_n = litedramcore_slave_p2_we_n;
+       end else begin
+               litedramcore_master_p2_we_n = litedramcore_inti_p2_we_n;
+       end
+end
+always @(*) begin
+       litedramcore_slave_p2_rddata_valid = 1'd0;
+       if (litedramcore_storage[0]) begin
+               litedramcore_slave_p2_rddata_valid = litedramcore_master_p2_rddata_valid;
+       end else begin
+       end
+end
+always @(*) begin
+       litedramcore_master_p2_cke = 1'd0;
+       if (litedramcore_storage[0]) begin
+               litedramcore_master_p2_cke = litedramcore_slave_p2_cke;
+       end else begin
+               litedramcore_master_p2_cke = litedramcore_inti_p2_cke;
+       end
+end
+always @(*) begin
+       litedramcore_master_p2_odt = 1'd0;
+       if (litedramcore_storage[0]) begin
+               litedramcore_master_p2_odt = litedramcore_slave_p2_odt;
+       end else begin
+               litedramcore_master_p2_odt = litedramcore_inti_p2_odt;
+       end
+end
+always @(*) begin
+       litedramcore_master_p2_reset_n = 1'd0;
+       if (litedramcore_storage[0]) begin
+               litedramcore_master_p2_reset_n = litedramcore_slave_p2_reset_n;
+       end else begin
+               litedramcore_master_p2_reset_n = litedramcore_inti_p2_reset_n;
+       end
+end
+always @(*) begin
+       litedramcore_master_p2_act_n = 1'd1;
+       if (litedramcore_storage[0]) begin
+               litedramcore_master_p2_act_n = litedramcore_slave_p2_act_n;
+       end else begin
+               litedramcore_master_p2_act_n = litedramcore_inti_p2_act_n;
+       end
+end
+always @(*) begin
+       litedramcore_master_p2_wrdata = 32'd0;
+       if (litedramcore_storage[0]) begin
+               litedramcore_master_p2_wrdata = litedramcore_slave_p2_wrdata;
+       end else begin
+               litedramcore_master_p2_wrdata = litedramcore_inti_p2_wrdata;
+       end
+end
+always @(*) begin
+       litedramcore_inti_p3_rddata = 32'd0;
+       if (litedramcore_storage[0]) begin
+       end else begin
+               litedramcore_inti_p3_rddata = litedramcore_master_p3_rddata;
+       end
+end
+always @(*) begin
+       litedramcore_master_p2_wrdata_en = 1'd0;
+       if (litedramcore_storage[0]) begin
+               litedramcore_master_p2_wrdata_en = litedramcore_slave_p2_wrdata_en;
+       end else begin
+               litedramcore_master_p2_wrdata_en = litedramcore_inti_p2_wrdata_en;
+       end
+end
+always @(*) begin
+       litedramcore_inti_p3_rddata_valid = 1'd0;
+       if (litedramcore_storage[0]) begin
+       end else begin
+               litedramcore_inti_p3_rddata_valid = litedramcore_master_p3_rddata_valid;
+       end
+end
+always @(*) begin
+       litedramcore_master_p2_wrdata_mask = 4'd0;
+       if (litedramcore_storage[0]) begin
+               litedramcore_master_p2_wrdata_mask = litedramcore_slave_p2_wrdata_mask;
+       end else begin
+               litedramcore_master_p2_wrdata_mask = litedramcore_inti_p2_wrdata_mask;
+       end
+end
+always @(*) begin
+       litedramcore_master_p2_rddata_en = 1'd0;
+       if (litedramcore_storage[0]) begin
+               litedramcore_master_p2_rddata_en = litedramcore_slave_p2_rddata_en;
+       end else begin
+               litedramcore_master_p2_rddata_en = litedramcore_inti_p2_rddata_en;
+       end
+end
+always @(*) begin
+       litedramcore_master_p3_address = 14'd0;
+       if (litedramcore_storage[0]) begin
+               litedramcore_master_p3_address = litedramcore_slave_p3_address;
+       end else begin
+               litedramcore_master_p3_address = litedramcore_inti_p3_address;
        end
 end
 always @(*) begin
@@ -4726,254 +4342,114 @@ always @(*) begin
                litedramcore_master_p1_cas_n = litedramcore_inti_p1_cas_n;
        end
 end
+assign litedramcore_inti_p0_cke = litedramcore_storage[1];
+assign litedramcore_inti_p1_cke = litedramcore_storage[1];
+assign litedramcore_inti_p2_cke = litedramcore_storage[1];
+assign litedramcore_inti_p3_cke = litedramcore_storage[1];
+assign litedramcore_inti_p0_odt = litedramcore_storage[2];
+assign litedramcore_inti_p1_odt = litedramcore_storage[2];
+assign litedramcore_inti_p2_odt = litedramcore_storage[2];
+assign litedramcore_inti_p3_odt = litedramcore_storage[2];
+assign litedramcore_inti_p0_reset_n = litedramcore_storage[3];
+assign litedramcore_inti_p1_reset_n = litedramcore_storage[3];
+assign litedramcore_inti_p2_reset_n = litedramcore_storage[3];
+assign litedramcore_inti_p3_reset_n = litedramcore_storage[3];
 always @(*) begin
-       litedramcore_master_p1_cs_n = 1'd1;
-       if (litedramcore_storage[0]) begin
-               litedramcore_master_p1_cs_n = litedramcore_slave_p1_cs_n;
+       litedramcore_inti_p0_cs_n = 1'd1;
+       if (litedramcore_phaseinjector0_command_issue_re) begin
+               litedramcore_inti_p0_cs_n = {1{(~litedramcore_phaseinjector0_command_storage[0])}};
        end else begin
-               litedramcore_master_p1_cs_n = litedramcore_inti_p1_cs_n;
+               litedramcore_inti_p0_cs_n = {1{1'd1}};
        end
 end
 always @(*) begin
-       litedramcore_master_p1_ras_n = 1'd1;
-       if (litedramcore_storage[0]) begin
-               litedramcore_master_p1_ras_n = litedramcore_slave_p1_ras_n;
+       litedramcore_inti_p0_ras_n = 1'd1;
+       if (litedramcore_phaseinjector0_command_issue_re) begin
+               litedramcore_inti_p0_ras_n = (~litedramcore_phaseinjector0_command_storage[3]);
        end else begin
-               litedramcore_master_p1_ras_n = litedramcore_inti_p1_ras_n;
+               litedramcore_inti_p0_ras_n = 1'd1;
        end
 end
 always @(*) begin
-       litedramcore_slave_p1_rddata = 32'd0;
-       if (litedramcore_storage[0]) begin
-               litedramcore_slave_p1_rddata = litedramcore_master_p1_rddata;
+       litedramcore_inti_p0_we_n = 1'd1;
+       if (litedramcore_phaseinjector0_command_issue_re) begin
+               litedramcore_inti_p0_we_n = (~litedramcore_phaseinjector0_command_storage[1]);
        end else begin
+               litedramcore_inti_p0_we_n = 1'd1;
        end
 end
 always @(*) begin
-       litedramcore_master_p1_we_n = 1'd1;
-       if (litedramcore_storage[0]) begin
-               litedramcore_master_p1_we_n = litedramcore_slave_p1_we_n;
+       litedramcore_inti_p0_cas_n = 1'd1;
+       if (litedramcore_phaseinjector0_command_issue_re) begin
+               litedramcore_inti_p0_cas_n = (~litedramcore_phaseinjector0_command_storage[2]);
        end else begin
-               litedramcore_master_p1_we_n = litedramcore_inti_p1_we_n;
+               litedramcore_inti_p0_cas_n = 1'd1;
        end
 end
+assign litedramcore_inti_p0_address = litedramcore_phaseinjector0_address_storage;
+assign litedramcore_inti_p0_bank = litedramcore_phaseinjector0_baddress_storage;
+assign litedramcore_inti_p0_wrdata_en = (litedramcore_phaseinjector0_command_issue_re & litedramcore_phaseinjector0_command_storage[4]);
+assign litedramcore_inti_p0_rddata_en = (litedramcore_phaseinjector0_command_issue_re & litedramcore_phaseinjector0_command_storage[5]);
+assign litedramcore_inti_p0_wrdata = litedramcore_phaseinjector0_wrdata_storage;
+assign litedramcore_inti_p0_wrdata_mask = 1'd0;
 always @(*) begin
-       litedramcore_slave_p1_rddata_valid = 1'd0;
-       if (litedramcore_storage[0]) begin
-               litedramcore_slave_p1_rddata_valid = litedramcore_master_p1_rddata_valid;
+       litedramcore_inti_p1_cs_n = 1'd1;
+       if (litedramcore_phaseinjector1_command_issue_re) begin
+               litedramcore_inti_p1_cs_n = {1{(~litedramcore_phaseinjector1_command_storage[0])}};
        end else begin
+               litedramcore_inti_p1_cs_n = {1{1'd1}};
        end
 end
 always @(*) begin
-       litedramcore_master_p1_cke = 1'd0;
-       if (litedramcore_storage[0]) begin
-               litedramcore_master_p1_cke = litedramcore_slave_p1_cke;
+       litedramcore_inti_p1_ras_n = 1'd1;
+       if (litedramcore_phaseinjector1_command_issue_re) begin
+               litedramcore_inti_p1_ras_n = (~litedramcore_phaseinjector1_command_storage[3]);
        end else begin
-               litedramcore_master_p1_cke = litedramcore_inti_p1_cke;
+               litedramcore_inti_p1_ras_n = 1'd1;
        end
 end
 always @(*) begin
-       litedramcore_master_p1_odt = 1'd0;
-       if (litedramcore_storage[0]) begin
-               litedramcore_master_p1_odt = litedramcore_slave_p1_odt;
+       litedramcore_inti_p1_we_n = 1'd1;
+       if (litedramcore_phaseinjector1_command_issue_re) begin
+               litedramcore_inti_p1_we_n = (~litedramcore_phaseinjector1_command_storage[1]);
        end else begin
-               litedramcore_master_p1_odt = litedramcore_inti_p1_odt;
+               litedramcore_inti_p1_we_n = 1'd1;
        end
 end
 always @(*) begin
-       litedramcore_master_p1_reset_n = 1'd0;
-       if (litedramcore_storage[0]) begin
-               litedramcore_master_p1_reset_n = litedramcore_slave_p1_reset_n;
+       litedramcore_inti_p1_cas_n = 1'd1;
+       if (litedramcore_phaseinjector1_command_issue_re) begin
+               litedramcore_inti_p1_cas_n = (~litedramcore_phaseinjector1_command_storage[2]);
        end else begin
-               litedramcore_master_p1_reset_n = litedramcore_inti_p1_reset_n;
+               litedramcore_inti_p1_cas_n = 1'd1;
        end
 end
+assign litedramcore_inti_p1_address = litedramcore_phaseinjector1_address_storage;
+assign litedramcore_inti_p1_bank = litedramcore_phaseinjector1_baddress_storage;
+assign litedramcore_inti_p1_wrdata_en = (litedramcore_phaseinjector1_command_issue_re & litedramcore_phaseinjector1_command_storage[4]);
+assign litedramcore_inti_p1_rddata_en = (litedramcore_phaseinjector1_command_issue_re & litedramcore_phaseinjector1_command_storage[5]);
+assign litedramcore_inti_p1_wrdata = litedramcore_phaseinjector1_wrdata_storage;
+assign litedramcore_inti_p1_wrdata_mask = 1'd0;
 always @(*) begin
-       litedramcore_master_p1_act_n = 1'd1;
-       if (litedramcore_storage[0]) begin
-               litedramcore_master_p1_act_n = litedramcore_slave_p1_act_n;
+       litedramcore_inti_p2_cs_n = 1'd1;
+       if (litedramcore_phaseinjector2_command_issue_re) begin
+               litedramcore_inti_p2_cs_n = {1{(~litedramcore_phaseinjector2_command_storage[0])}};
        end else begin
-               litedramcore_master_p1_act_n = litedramcore_inti_p1_act_n;
+               litedramcore_inti_p2_cs_n = {1{1'd1}};
        end
 end
 always @(*) begin
-       litedramcore_master_p1_wrdata = 32'd0;
-       if (litedramcore_storage[0]) begin
-               litedramcore_master_p1_wrdata = litedramcore_slave_p1_wrdata;
+       litedramcore_inti_p2_ras_n = 1'd1;
+       if (litedramcore_phaseinjector2_command_issue_re) begin
+               litedramcore_inti_p2_ras_n = (~litedramcore_phaseinjector2_command_storage[3]);
        end else begin
-               litedramcore_master_p1_wrdata = litedramcore_inti_p1_wrdata;
+               litedramcore_inti_p2_ras_n = 1'd1;
        end
 end
 always @(*) begin
-       litedramcore_inti_p2_rddata = 32'd0;
-       if (litedramcore_storage[0]) begin
-       end else begin
-               litedramcore_inti_p2_rddata = litedramcore_master_p2_rddata;
-       end
-end
-always @(*) begin
-       litedramcore_master_p1_wrdata_en = 1'd0;
-       if (litedramcore_storage[0]) begin
-               litedramcore_master_p1_wrdata_en = litedramcore_slave_p1_wrdata_en;
-       end else begin
-               litedramcore_master_p1_wrdata_en = litedramcore_inti_p1_wrdata_en;
-       end
-end
-always @(*) begin
-       litedramcore_inti_p2_rddata_valid = 1'd0;
-       if (litedramcore_storage[0]) begin
-       end else begin
-               litedramcore_inti_p2_rddata_valid = litedramcore_master_p2_rddata_valid;
-       end
-end
-always @(*) begin
-       litedramcore_master_p1_wrdata_mask = 4'd0;
-       if (litedramcore_storage[0]) begin
-               litedramcore_master_p1_wrdata_mask = litedramcore_slave_p1_wrdata_mask;
-       end else begin
-               litedramcore_master_p1_wrdata_mask = litedramcore_inti_p1_wrdata_mask;
-       end
-end
-always @(*) begin
-       litedramcore_master_p1_rddata_en = 1'd0;
-       if (litedramcore_storage[0]) begin
-               litedramcore_master_p1_rddata_en = litedramcore_slave_p1_rddata_en;
-       end else begin
-               litedramcore_master_p1_rddata_en = litedramcore_inti_p1_rddata_en;
-       end
-end
-always @(*) begin
-       litedramcore_master_p2_address = 14'd0;
-       if (litedramcore_storage[0]) begin
-               litedramcore_master_p2_address = litedramcore_slave_p2_address;
-       end else begin
-               litedramcore_master_p2_address = litedramcore_inti_p2_address;
-       end
-end
-always @(*) begin
-       litedramcore_master_p2_bank = 3'd0;
-       if (litedramcore_storage[0]) begin
-               litedramcore_master_p2_bank = litedramcore_slave_p2_bank;
-       end else begin
-               litedramcore_master_p2_bank = litedramcore_inti_p2_bank;
-       end
-end
-always @(*) begin
-       litedramcore_master_p2_cas_n = 1'd1;
-       if (litedramcore_storage[0]) begin
-               litedramcore_master_p2_cas_n = litedramcore_slave_p2_cas_n;
-       end else begin
-               litedramcore_master_p2_cas_n = litedramcore_inti_p2_cas_n;
-       end
-end
-always @(*) begin
-       litedramcore_master_p2_cs_n = 1'd1;
-       if (litedramcore_storage[0]) begin
-               litedramcore_master_p2_cs_n = litedramcore_slave_p2_cs_n;
-       end else begin
-               litedramcore_master_p2_cs_n = litedramcore_inti_p2_cs_n;
-       end
-end
-assign litedramcore_inti_p0_cke = litedramcore_storage[1];
-assign litedramcore_inti_p1_cke = litedramcore_storage[1];
-assign litedramcore_inti_p2_cke = litedramcore_storage[1];
-assign litedramcore_inti_p3_cke = litedramcore_storage[1];
-assign litedramcore_inti_p0_odt = litedramcore_storage[2];
-assign litedramcore_inti_p1_odt = litedramcore_storage[2];
-assign litedramcore_inti_p2_odt = litedramcore_storage[2];
-assign litedramcore_inti_p3_odt = litedramcore_storage[2];
-assign litedramcore_inti_p0_reset_n = litedramcore_storage[3];
-assign litedramcore_inti_p1_reset_n = litedramcore_storage[3];
-assign litedramcore_inti_p2_reset_n = litedramcore_storage[3];
-assign litedramcore_inti_p3_reset_n = litedramcore_storage[3];
-always @(*) begin
-       litedramcore_inti_p0_ras_n = 1'd1;
-       if (litedramcore_phaseinjector0_command_issue_re) begin
-               litedramcore_inti_p0_ras_n = (~litedramcore_phaseinjector0_command_storage[3]);
-       end else begin
-               litedramcore_inti_p0_ras_n = 1'd1;
-       end
-end
-always @(*) begin
-       litedramcore_inti_p0_we_n = 1'd1;
-       if (litedramcore_phaseinjector0_command_issue_re) begin
-               litedramcore_inti_p0_we_n = (~litedramcore_phaseinjector0_command_storage[1]);
-       end else begin
-               litedramcore_inti_p0_we_n = 1'd1;
-       end
-end
-always @(*) begin
-       litedramcore_inti_p0_cas_n = 1'd1;
-       if (litedramcore_phaseinjector0_command_issue_re) begin
-               litedramcore_inti_p0_cas_n = (~litedramcore_phaseinjector0_command_storage[2]);
-       end else begin
-               litedramcore_inti_p0_cas_n = 1'd1;
-       end
-end
-always @(*) begin
-       litedramcore_inti_p0_cs_n = 1'd1;
-       if (litedramcore_phaseinjector0_command_issue_re) begin
-               litedramcore_inti_p0_cs_n = {1{(~litedramcore_phaseinjector0_command_storage[0])}};
-       end else begin
-               litedramcore_inti_p0_cs_n = {1{1'd1}};
-       end
-end
-assign litedramcore_inti_p0_address = litedramcore_phaseinjector0_address_storage;
-assign litedramcore_inti_p0_bank = litedramcore_phaseinjector0_baddress_storage;
-assign litedramcore_inti_p0_wrdata_en = (litedramcore_phaseinjector0_command_issue_re & litedramcore_phaseinjector0_command_storage[4]);
-assign litedramcore_inti_p0_rddata_en = (litedramcore_phaseinjector0_command_issue_re & litedramcore_phaseinjector0_command_storage[5]);
-assign litedramcore_inti_p0_wrdata = litedramcore_phaseinjector0_wrdata_storage;
-assign litedramcore_inti_p0_wrdata_mask = 1'd0;
-always @(*) begin
-       litedramcore_inti_p1_ras_n = 1'd1;
-       if (litedramcore_phaseinjector1_command_issue_re) begin
-               litedramcore_inti_p1_ras_n = (~litedramcore_phaseinjector1_command_storage[3]);
-       end else begin
-               litedramcore_inti_p1_ras_n = 1'd1;
-       end
-end
-always @(*) begin
-       litedramcore_inti_p1_we_n = 1'd1;
-       if (litedramcore_phaseinjector1_command_issue_re) begin
-               litedramcore_inti_p1_we_n = (~litedramcore_phaseinjector1_command_storage[1]);
-       end else begin
-               litedramcore_inti_p1_we_n = 1'd1;
-       end
-end
-always @(*) begin
-       litedramcore_inti_p1_cas_n = 1'd1;
-       if (litedramcore_phaseinjector1_command_issue_re) begin
-               litedramcore_inti_p1_cas_n = (~litedramcore_phaseinjector1_command_storage[2]);
-       end else begin
-               litedramcore_inti_p1_cas_n = 1'd1;
-       end
-end
-always @(*) begin
-       litedramcore_inti_p1_cs_n = 1'd1;
-       if (litedramcore_phaseinjector1_command_issue_re) begin
-               litedramcore_inti_p1_cs_n = {1{(~litedramcore_phaseinjector1_command_storage[0])}};
-       end else begin
-               litedramcore_inti_p1_cs_n = {1{1'd1}};
-       end
-end
-assign litedramcore_inti_p1_address = litedramcore_phaseinjector1_address_storage;
-assign litedramcore_inti_p1_bank = litedramcore_phaseinjector1_baddress_storage;
-assign litedramcore_inti_p1_wrdata_en = (litedramcore_phaseinjector1_command_issue_re & litedramcore_phaseinjector1_command_storage[4]);
-assign litedramcore_inti_p1_rddata_en = (litedramcore_phaseinjector1_command_issue_re & litedramcore_phaseinjector1_command_storage[5]);
-assign litedramcore_inti_p1_wrdata = litedramcore_phaseinjector1_wrdata_storage;
-assign litedramcore_inti_p1_wrdata_mask = 1'd0;
-always @(*) begin
-       litedramcore_inti_p2_ras_n = 1'd1;
-       if (litedramcore_phaseinjector2_command_issue_re) begin
-               litedramcore_inti_p2_ras_n = (~litedramcore_phaseinjector2_command_storage[3]);
-       end else begin
-               litedramcore_inti_p2_ras_n = 1'd1;
-       end
-end
-always @(*) begin
-       litedramcore_inti_p2_we_n = 1'd1;
-       if (litedramcore_phaseinjector2_command_issue_re) begin
-               litedramcore_inti_p2_we_n = (~litedramcore_phaseinjector2_command_storage[1]);
+       litedramcore_inti_p2_we_n = 1'd1;
+       if (litedramcore_phaseinjector2_command_issue_re) begin
+               litedramcore_inti_p2_we_n = (~litedramcore_phaseinjector2_command_storage[1]);
        end else begin
                litedramcore_inti_p2_we_n = 1'd1;
        end
@@ -4986,20 +4462,20 @@ always @(*) begin
                litedramcore_inti_p2_cas_n = 1'd1;
        end
 end
-always @(*) begin
-       litedramcore_inti_p2_cs_n = 1'd1;
-       if (litedramcore_phaseinjector2_command_issue_re) begin
-               litedramcore_inti_p2_cs_n = {1{(~litedramcore_phaseinjector2_command_storage[0])}};
-       end else begin
-               litedramcore_inti_p2_cs_n = {1{1'd1}};
-       end
-end
 assign litedramcore_inti_p2_address = litedramcore_phaseinjector2_address_storage;
 assign litedramcore_inti_p2_bank = litedramcore_phaseinjector2_baddress_storage;
 assign litedramcore_inti_p2_wrdata_en = (litedramcore_phaseinjector2_command_issue_re & litedramcore_phaseinjector2_command_storage[4]);
 assign litedramcore_inti_p2_rddata_en = (litedramcore_phaseinjector2_command_issue_re & litedramcore_phaseinjector2_command_storage[5]);
 assign litedramcore_inti_p2_wrdata = litedramcore_phaseinjector2_wrdata_storage;
 assign litedramcore_inti_p2_wrdata_mask = 1'd0;
+always @(*) begin
+       litedramcore_inti_p3_cs_n = 1'd1;
+       if (litedramcore_phaseinjector3_command_issue_re) begin
+               litedramcore_inti_p3_cs_n = {1{(~litedramcore_phaseinjector3_command_storage[0])}};
+       end else begin
+               litedramcore_inti_p3_cs_n = {1{1'd1}};
+       end
+end
 always @(*) begin
        litedramcore_inti_p3_ras_n = 1'd1;
        if (litedramcore_phaseinjector3_command_issue_re) begin
@@ -5024,14 +4500,6 @@ always @(*) begin
                litedramcore_inti_p3_cas_n = 1'd1;
        end
 end
-always @(*) begin
-       litedramcore_inti_p3_cs_n = 1'd1;
-       if (litedramcore_phaseinjector3_command_issue_re) begin
-               litedramcore_inti_p3_cs_n = {1{(~litedramcore_phaseinjector3_command_storage[0])}};
-       end else begin
-               litedramcore_inti_p3_cs_n = {1{1'd1}};
-       end
-end
 assign litedramcore_inti_p3_address = litedramcore_phaseinjector3_address_storage;
 assign litedramcore_inti_p3_bank = litedramcore_phaseinjector3_baddress_storage;
 assign litedramcore_inti_p3_wrdata_en = (litedramcore_phaseinjector3_command_issue_re & litedramcore_phaseinjector3_command_storage[4]);
@@ -5526,6 +4994,32 @@ always @(*) begin
                end
        endcase
 end
+always @(*) begin
+       litedramcore_bankmachine0_row_col_n_addr_sel = 1'd0;
+       case (bankmachine0_state)
+               1'd1: begin
+               end
+               2'd2: begin
+               end
+               2'd3: begin
+                       if (litedramcore_bankmachine0_trccon_ready) begin
+                               litedramcore_bankmachine0_row_col_n_addr_sel = 1'd1;
+                       end
+               end
+               3'd4: begin
+               end
+               3'd5: begin
+               end
+               3'd6: begin
+               end
+               3'd7: begin
+               end
+               4'd8: begin
+               end
+               default: begin
+               end
+       endcase
+end
 always @(*) begin
        litedramcore_bankmachine0_cmd_valid = 1'd0;
        case (bankmachine0_state)
@@ -5619,32 +5113,6 @@ always @(*) begin
                end
        endcase
 end
-always @(*) begin
-       litedramcore_bankmachine0_row_col_n_addr_sel = 1'd0;
-       case (bankmachine0_state)
-               1'd1: begin
-               end
-               2'd2: begin
-               end
-               2'd3: begin
-                       if (litedramcore_bankmachine0_trccon_ready) begin
-                               litedramcore_bankmachine0_row_col_n_addr_sel = 1'd1;
-                       end
-               end
-               3'd4: begin
-               end
-               3'd5: begin
-               end
-               3'd6: begin
-               end
-               3'd7: begin
-               end
-               4'd8: begin
-               end
-               default: begin
-               end
-       endcase
-end
 always @(*) begin
        litedramcore_bankmachine0_cmd_payload_cas = 1'd0;
        case (bankmachine0_state)
@@ -5984,33 +5452,7 @@ always @(*) begin
        endcase
 end
 always @(*) begin
-       litedramcore_bankmachine1_row_col_n_addr_sel = 1'd0;
-       case (bankmachine1_state)
-               1'd1: begin
-               end
-               2'd2: begin
-               end
-               2'd3: begin
-                       if (litedramcore_bankmachine1_trccon_ready) begin
-                               litedramcore_bankmachine1_row_col_n_addr_sel = 1'd1;
-                       end
-               end
-               3'd4: begin
-               end
-               3'd5: begin
-               end
-               3'd6: begin
-               end
-               3'd7: begin
-               end
-               4'd8: begin
-               end
-               default: begin
-               end
-       endcase
-end
-always @(*) begin
-       litedramcore_bankmachine1_req_wdata_ready = 1'd0;
+       litedramcore_bankmachine1_req_wdata_ready = 1'd0;
        case (bankmachine1_state)
                1'd1: begin
                end
@@ -6178,6 +5620,32 @@ always @(*) begin
                end
        endcase
 end
+always @(*) begin
+       litedramcore_bankmachine1_row_col_n_addr_sel = 1'd0;
+       case (bankmachine1_state)
+               1'd1: begin
+               end
+               2'd2: begin
+               end
+               2'd3: begin
+                       if (litedramcore_bankmachine1_trccon_ready) begin
+                               litedramcore_bankmachine1_row_col_n_addr_sel = 1'd1;
+                       end
+               end
+               3'd4: begin
+               end
+               3'd5: begin
+               end
+               3'd6: begin
+               end
+               3'd7: begin
+               end
+               4'd8: begin
+               end
+               default: begin
+               end
+       endcase
+end
 always @(*) begin
        litedramcore_bankmachine1_row_close = 1'd0;
        case (bankmachine1_state)
@@ -6685,32 +6153,6 @@ always @(*) begin
                end
        endcase
 end
-always @(*) begin
-       litedramcore_bankmachine2_row_col_n_addr_sel = 1'd0;
-       case (bankmachine2_state)
-               1'd1: begin
-               end
-               2'd2: begin
-               end
-               2'd3: begin
-                       if (litedramcore_bankmachine2_trccon_ready) begin
-                               litedramcore_bankmachine2_row_col_n_addr_sel = 1'd1;
-                       end
-               end
-               3'd4: begin
-               end
-               3'd5: begin
-               end
-               3'd6: begin
-               end
-               3'd7: begin
-               end
-               4'd8: begin
-               end
-               default: begin
-               end
-       endcase
-end
 always @(*) begin
        litedramcore_bankmachine2_row_open = 1'd0;
        case (bankmachine2_state)
@@ -6868,6 +6310,32 @@ always @(*) begin
                end
        endcase
 end
+always @(*) begin
+       litedramcore_bankmachine2_row_col_n_addr_sel = 1'd0;
+       case (bankmachine2_state)
+               1'd1: begin
+               end
+               2'd2: begin
+               end
+               2'd3: begin
+                       if (litedramcore_bankmachine2_trccon_ready) begin
+                               litedramcore_bankmachine2_row_col_n_addr_sel = 1'd1;
+                       end
+               end
+               3'd4: begin
+               end
+               3'd5: begin
+               end
+               3'd6: begin
+               end
+               3'd7: begin
+               end
+               4'd8: begin
+               end
+               default: begin
+               end
+       endcase
+end
 always @(*) begin
        litedramcore_bankmachine2_cmd_payload_is_cmd = 1'd0;
        case (bankmachine2_state)
@@ -7177,6 +6645,32 @@ always @(*) begin
                end
        endcase
 end
+always @(*) begin
+       litedramcore_bankmachine3_row_col_n_addr_sel = 1'd0;
+       case (bankmachine3_state)
+               1'd1: begin
+               end
+               2'd2: begin
+               end
+               2'd3: begin
+                       if (litedramcore_bankmachine3_trccon_ready) begin
+                               litedramcore_bankmachine3_row_col_n_addr_sel = 1'd1;
+                       end
+               end
+               3'd4: begin
+               end
+               3'd5: begin
+               end
+               3'd6: begin
+               end
+               3'd7: begin
+               end
+               4'd8: begin
+               end
+               default: begin
+               end
+       endcase
+end
 always @(*) begin
        litedramcore_bankmachine3_refresh_gnt = 1'd0;
        case (bankmachine3_state)
@@ -7296,32 +6790,6 @@ always @(*) begin
                end
        endcase
 end
-always @(*) begin
-       litedramcore_bankmachine3_row_col_n_addr_sel = 1'd0;
-       case (bankmachine3_state)
-               1'd1: begin
-               end
-               2'd2: begin
-               end
-               2'd3: begin
-                       if (litedramcore_bankmachine3_trccon_ready) begin
-                               litedramcore_bankmachine3_row_col_n_addr_sel = 1'd1;
-                       end
-               end
-               3'd4: begin
-               end
-               3'd5: begin
-               end
-               3'd6: begin
-               end
-               3'd7: begin
-               end
-               4'd8: begin
-               end
-               default: begin
-               end
-       endcase
-end
 always @(*) begin
        litedramcore_bankmachine3_cmd_payload_cas = 1'd0;
        case (bankmachine3_state)
@@ -7763,15 +7231,18 @@ always @(*) begin
        endcase
 end
 always @(*) begin
-       litedramcore_bankmachine4_row_col_n_addr_sel = 1'd0;
+       litedramcore_bankmachine4_cmd_valid = 1'd0;
        case (bankmachine4_state)
                1'd1: begin
+                       if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin
+                               litedramcore_bankmachine4_cmd_valid = 1'd1;
+                       end
                end
                2'd2: begin
                end
                2'd3: begin
                        if (litedramcore_bankmachine4_trccon_ready) begin
-                               litedramcore_bankmachine4_row_col_n_addr_sel = 1'd1;
+                               litedramcore_bankmachine4_cmd_valid = 1'd1;
                        end
                end
                3'd4: begin
@@ -7785,22 +7256,31 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
+                       if (litedramcore_bankmachine4_refresh_req) begin
+                       end else begin
+                               if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin
+                                       if (litedramcore_bankmachine4_row_opened) begin
+                                               if (litedramcore_bankmachine4_row_hit) begin
+                                                       litedramcore_bankmachine4_cmd_valid = 1'd1;
+                                               end else begin
+                                               end
+                                       end else begin
+                                       end
+                               end
+                       end
                end
        endcase
 end
 always @(*) begin
-       litedramcore_bankmachine4_cmd_valid = 1'd0;
+       litedramcore_bankmachine4_row_col_n_addr_sel = 1'd0;
        case (bankmachine4_state)
                1'd1: begin
-                       if ((litedramcore_bankmachine4_twtpcon_ready & litedramcore_bankmachine4_trascon_ready)) begin
-                               litedramcore_bankmachine4_cmd_valid = 1'd1;
-                       end
                end
                2'd2: begin
                end
                2'd3: begin
                        if (litedramcore_bankmachine4_trccon_ready) begin
-                               litedramcore_bankmachine4_cmd_valid = 1'd1;
+                               litedramcore_bankmachine4_row_col_n_addr_sel = 1'd1;
                        end
                end
                3'd4: begin
@@ -7814,18 +7294,6 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
-                       if (litedramcore_bankmachine4_refresh_req) begin
-                       end else begin
-                               if (litedramcore_bankmachine4_cmd_buffer_source_valid) begin
-                                       if (litedramcore_bankmachine4_row_opened) begin
-                                               if (litedramcore_bankmachine4_row_hit) begin
-                                                       litedramcore_bankmachine4_cmd_valid = 1'd1;
-                                               end else begin
-                                               end
-                                       end else begin
-                                       end
-                               end
-                       end
                end
        endcase
 end
@@ -8296,18 +7764,18 @@ always @(*) begin
        endcase
 end
 always @(*) begin
-       litedramcore_bankmachine5_row_col_n_addr_sel = 1'd0;
+       litedramcore_bankmachine5_refresh_gnt = 1'd0;
        case (bankmachine5_state)
                1'd1: begin
                end
                2'd2: begin
                end
                2'd3: begin
-                       if (litedramcore_bankmachine5_trccon_ready) begin
-                               litedramcore_bankmachine5_row_col_n_addr_sel = 1'd1;
-                       end
                end
                3'd4: begin
+                       if (litedramcore_bankmachine5_twtpcon_ready) begin
+                               litedramcore_bankmachine5_refresh_gnt = 1'd1;
+                       end
                end
                3'd5: begin
                end
@@ -8322,18 +7790,21 @@ always @(*) begin
        endcase
 end
 always @(*) begin
-       litedramcore_bankmachine5_refresh_gnt = 1'd0;
+       litedramcore_bankmachine5_cmd_valid = 1'd0;
        case (bankmachine5_state)
                1'd1: begin
+                       if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin
+                               litedramcore_bankmachine5_cmd_valid = 1'd1;
+                       end
                end
                2'd2: begin
                end
                2'd3: begin
+                       if (litedramcore_bankmachine5_trccon_ready) begin
+                               litedramcore_bankmachine5_cmd_valid = 1'd1;
+                       end
                end
                3'd4: begin
-                       if (litedramcore_bankmachine5_twtpcon_ready) begin
-                               litedramcore_bankmachine5_refresh_gnt = 1'd1;
-                       end
                end
                3'd5: begin
                end
@@ -8344,22 +7815,31 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
+                       if (litedramcore_bankmachine5_refresh_req) begin
+                       end else begin
+                               if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin
+                                       if (litedramcore_bankmachine5_row_opened) begin
+                                               if (litedramcore_bankmachine5_row_hit) begin
+                                                       litedramcore_bankmachine5_cmd_valid = 1'd1;
+                                               end else begin
+                                               end
+                                       end else begin
+                                       end
+                               end
+                       end
                end
        endcase
 end
 always @(*) begin
-       litedramcore_bankmachine5_cmd_valid = 1'd0;
+       litedramcore_bankmachine5_row_col_n_addr_sel = 1'd0;
        case (bankmachine5_state)
                1'd1: begin
-                       if ((litedramcore_bankmachine5_twtpcon_ready & litedramcore_bankmachine5_trascon_ready)) begin
-                               litedramcore_bankmachine5_cmd_valid = 1'd1;
-                       end
                end
                2'd2: begin
                end
                2'd3: begin
                        if (litedramcore_bankmachine5_trccon_ready) begin
-                               litedramcore_bankmachine5_cmd_valid = 1'd1;
+                               litedramcore_bankmachine5_row_col_n_addr_sel = 1'd1;
                        end
                end
                3'd4: begin
@@ -8373,18 +7853,6 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
-                       if (litedramcore_bankmachine5_refresh_req) begin
-                       end else begin
-                               if (litedramcore_bankmachine5_cmd_buffer_source_valid) begin
-                                       if (litedramcore_bankmachine5_row_opened) begin
-                                               if (litedramcore_bankmachine5_row_hit) begin
-                                                       litedramcore_bankmachine5_cmd_valid = 1'd1;
-                                               end else begin
-                                               end
-                                       end else begin
-                                       end
-                               end
-                       end
                end
        endcase
 end
@@ -8741,13 +8209,16 @@ always @(*) begin
        endcase
 end
 always @(*) begin
-       litedramcore_bankmachine6_cmd_payload_is_write = 1'd0;
+       litedramcore_bankmachine6_row_col_n_addr_sel = 1'd0;
        case (bankmachine6_state)
                1'd1: begin
                end
                2'd2: begin
                end
                2'd3: begin
+                       if (litedramcore_bankmachine6_trccon_ready) begin
+                               litedramcore_bankmachine6_row_col_n_addr_sel = 1'd1;
+                       end
                end
                3'd4: begin
                end
@@ -8760,26 +8231,11 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
-                       if (litedramcore_bankmachine6_refresh_req) begin
-                       end else begin
-                               if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin
-                                       if (litedramcore_bankmachine6_row_opened) begin
-                                               if (litedramcore_bankmachine6_row_hit) begin
-                                                       if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin
-                                                               litedramcore_bankmachine6_cmd_payload_is_write = 1'd1;
-                                                       end else begin
-                                                       end
-                                               end else begin
-                                               end
-                                       end else begin
-                                       end
-                               end
-                       end
                end
        endcase
 end
 always @(*) begin
-       litedramcore_bankmachine6_req_wdata_ready = 1'd0;
+       litedramcore_bankmachine6_cmd_payload_is_write = 1'd0;
        case (bankmachine6_state)
                1'd1: begin
                end
@@ -8804,7 +8260,45 @@ always @(*) begin
                                        if (litedramcore_bankmachine6_row_opened) begin
                                                if (litedramcore_bankmachine6_row_hit) begin
                                                        if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin
-                                                               litedramcore_bankmachine6_req_wdata_ready = litedramcore_bankmachine6_cmd_ready;
+                                                               litedramcore_bankmachine6_cmd_payload_is_write = 1'd1;
+                                                       end else begin
+                                                       end
+                                               end else begin
+                                               end
+                                       end else begin
+                                       end
+                               end
+                       end
+               end
+       endcase
+end
+always @(*) begin
+       litedramcore_bankmachine6_req_wdata_ready = 1'd0;
+       case (bankmachine6_state)
+               1'd1: begin
+               end
+               2'd2: begin
+               end
+               2'd3: begin
+               end
+               3'd4: begin
+               end
+               3'd5: begin
+               end
+               3'd6: begin
+               end
+               3'd7: begin
+               end
+               4'd8: begin
+               end
+               default: begin
+                       if (litedramcore_bankmachine6_refresh_req) begin
+                       end else begin
+                               if (litedramcore_bankmachine6_cmd_buffer_source_valid) begin
+                                       if (litedramcore_bankmachine6_row_opened) begin
+                                               if (litedramcore_bankmachine6_row_hit) begin
+                                                       if (litedramcore_bankmachine6_cmd_buffer_source_payload_we) begin
+                                                               litedramcore_bankmachine6_req_wdata_ready = litedramcore_bankmachine6_cmd_ready;
                                                        end else begin
                                                        end
                                                end else begin
@@ -8921,32 +8415,6 @@ always @(*) begin
                end
        endcase
 end
-always @(*) begin
-       litedramcore_bankmachine6_row_col_n_addr_sel = 1'd0;
-       case (bankmachine6_state)
-               1'd1: begin
-               end
-               2'd2: begin
-               end
-               2'd3: begin
-                       if (litedramcore_bankmachine6_trccon_ready) begin
-                               litedramcore_bankmachine6_row_col_n_addr_sel = 1'd1;
-                       end
-               end
-               3'd4: begin
-               end
-               3'd5: begin
-               end
-               3'd6: begin
-               end
-               3'd7: begin
-               end
-               4'd8: begin
-               end
-               default: begin
-               end
-       endcase
-end
 always @(*) begin
        litedramcore_bankmachine6_row_open = 1'd0;
        case (bankmachine6_state)
@@ -9414,18 +8882,18 @@ always @(*) begin
        endcase
 end
 always @(*) begin
-       litedramcore_bankmachine7_refresh_gnt = 1'd0;
+       litedramcore_bankmachine7_row_col_n_addr_sel = 1'd0;
        case (bankmachine7_state)
                1'd1: begin
                end
                2'd2: begin
                end
                2'd3: begin
+                       if (litedramcore_bankmachine7_trccon_ready) begin
+                               litedramcore_bankmachine7_row_col_n_addr_sel = 1'd1;
+                       end
                end
                3'd4: begin
-                       if (litedramcore_bankmachine7_twtpcon_ready) begin
-                               litedramcore_bankmachine7_refresh_gnt = 1'd1;
-                       end
                end
                3'd5: begin
                end
@@ -9440,21 +8908,18 @@ always @(*) begin
        endcase
 end
 always @(*) begin
-       litedramcore_bankmachine7_cmd_valid = 1'd0;
+       litedramcore_bankmachine7_refresh_gnt = 1'd0;
        case (bankmachine7_state)
                1'd1: begin
-                       if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin
-                               litedramcore_bankmachine7_cmd_valid = 1'd1;
-                       end
                end
                2'd2: begin
                end
                2'd3: begin
-                       if (litedramcore_bankmachine7_trccon_ready) begin
-                               litedramcore_bankmachine7_cmd_valid = 1'd1;
-                       end
                end
                3'd4: begin
+                       if (litedramcore_bankmachine7_twtpcon_ready) begin
+                               litedramcore_bankmachine7_refresh_gnt = 1'd1;
+                       end
                end
                3'd5: begin
                end
@@ -9465,31 +8930,22 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
-                       if (litedramcore_bankmachine7_refresh_req) begin
-                       end else begin
-                               if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
-                                       if (litedramcore_bankmachine7_row_opened) begin
-                                               if (litedramcore_bankmachine7_row_hit) begin
-                                                       litedramcore_bankmachine7_cmd_valid = 1'd1;
-                                               end else begin
-                                               end
-                                       end else begin
-                                       end
-                               end
-                       end
                end
        endcase
 end
 always @(*) begin
-       litedramcore_bankmachine7_row_col_n_addr_sel = 1'd0;
+       litedramcore_bankmachine7_cmd_valid = 1'd0;
        case (bankmachine7_state)
                1'd1: begin
+                       if ((litedramcore_bankmachine7_twtpcon_ready & litedramcore_bankmachine7_trascon_ready)) begin
+                               litedramcore_bankmachine7_cmd_valid = 1'd1;
+                       end
                end
                2'd2: begin
                end
                2'd3: begin
                        if (litedramcore_bankmachine7_trccon_ready) begin
-                               litedramcore_bankmachine7_row_col_n_addr_sel = 1'd1;
+                               litedramcore_bankmachine7_cmd_valid = 1'd1;
                        end
                end
                3'd4: begin
@@ -9503,6 +8959,18 @@ always @(*) begin
                4'd8: begin
                end
                default: begin
+                       if (litedramcore_bankmachine7_refresh_req) begin
+                       end else begin
+                               if (litedramcore_bankmachine7_cmd_buffer_source_valid) begin
+                                       if (litedramcore_bankmachine7_row_opened) begin
+                                               if (litedramcore_bankmachine7_row_hit) begin
+                                                       litedramcore_bankmachine7_cmd_valid = 1'd1;
+                                               end else begin
+                                               end
+                                       end else begin
+                                       end
+                               end
+                       end
                end
        endcase
 end
@@ -9733,28 +9201,28 @@ always @(*) begin
        litedramcore_choose_cmd_valids[7] = (litedramcore_bankmachine7_cmd_valid & (((litedramcore_bankmachine7_cmd_payload_is_cmd & litedramcore_choose_cmd_want_cmds) & ((~((litedramcore_bankmachine7_cmd_payload_ras & (~litedramcore_bankmachine7_cmd_payload_cas)) & (~litedramcore_bankmachine7_cmd_payload_we))) | litedramcore_choose_cmd_want_activates)) | ((litedramcore_bankmachine7_cmd_payload_is_read == litedramcore_choose_cmd_want_reads) & (litedramcore_bankmachine7_cmd_payload_is_write == litedramcore_choose_cmd_want_writes))));
 end
 assign litedramcore_choose_cmd_request = litedramcore_choose_cmd_valids;
-assign litedramcore_choose_cmd_cmd_valid = comb_rhs_array_muxed0;
-assign litedramcore_choose_cmd_cmd_payload_a = comb_rhs_array_muxed1;
-assign litedramcore_choose_cmd_cmd_payload_ba = comb_rhs_array_muxed2;
-assign litedramcore_choose_cmd_cmd_payload_is_read = comb_rhs_array_muxed3;
-assign litedramcore_choose_cmd_cmd_payload_is_write = comb_rhs_array_muxed4;
-assign litedramcore_choose_cmd_cmd_payload_is_cmd = comb_rhs_array_muxed5;
+assign litedramcore_choose_cmd_cmd_valid = rhs_array_muxed0;
+assign litedramcore_choose_cmd_cmd_payload_a = rhs_array_muxed1;
+assign litedramcore_choose_cmd_cmd_payload_ba = rhs_array_muxed2;
+assign litedramcore_choose_cmd_cmd_payload_is_read = rhs_array_muxed3;
+assign litedramcore_choose_cmd_cmd_payload_is_write = rhs_array_muxed4;
+assign litedramcore_choose_cmd_cmd_payload_is_cmd = rhs_array_muxed5;
 always @(*) begin
        litedramcore_choose_cmd_cmd_payload_cas = 1'd0;
        if (litedramcore_choose_cmd_cmd_valid) begin
-               litedramcore_choose_cmd_cmd_payload_cas = comb_t_array_muxed0;
+               litedramcore_choose_cmd_cmd_payload_cas = t_array_muxed0;
        end
 end
 always @(*) begin
        litedramcore_choose_cmd_cmd_payload_ras = 1'd0;
        if (litedramcore_choose_cmd_cmd_valid) begin
-               litedramcore_choose_cmd_cmd_payload_ras = comb_t_array_muxed1;
+               litedramcore_choose_cmd_cmd_payload_ras = t_array_muxed1;
        end
 end
 always @(*) begin
        litedramcore_choose_cmd_cmd_payload_we = 1'd0;
        if (litedramcore_choose_cmd_cmd_valid) begin
-               litedramcore_choose_cmd_cmd_payload_we = comb_t_array_muxed2;
+               litedramcore_choose_cmd_cmd_payload_we = t_array_muxed2;
        end
 end
 always @(*) begin
@@ -9842,28 +9310,28 @@ always @(*) begin
        litedramcore_choose_req_valids[7] = (litedramcore_bankmachine7_cmd_valid & (((litedramcore_bankmachine7_cmd_payload_is_cmd & litedramcore_choose_req_want_cmds) & ((~((litedramcore_bankmachine7_cmd_payload_ras & (~litedramcore_bankmachine7_cmd_payload_cas)) & (~litedramcore_bankmachine7_cmd_payload_we))) | litedramcore_choose_req_want_activates)) | ((litedramcore_bankmachine7_cmd_payload_is_read == litedramcore_choose_req_want_reads) & (litedramcore_bankmachine7_cmd_payload_is_write == litedramcore_choose_req_want_writes))));
 end
 assign litedramcore_choose_req_request = litedramcore_choose_req_valids;
-assign litedramcore_choose_req_cmd_valid = comb_rhs_array_muxed6;
-assign litedramcore_choose_req_cmd_payload_a = comb_rhs_array_muxed7;
-assign litedramcore_choose_req_cmd_payload_ba = comb_rhs_array_muxed8;
-assign litedramcore_choose_req_cmd_payload_is_read = comb_rhs_array_muxed9;
-assign litedramcore_choose_req_cmd_payload_is_write = comb_rhs_array_muxed10;
-assign litedramcore_choose_req_cmd_payload_is_cmd = comb_rhs_array_muxed11;
+assign litedramcore_choose_req_cmd_valid = rhs_array_muxed6;
+assign litedramcore_choose_req_cmd_payload_a = rhs_array_muxed7;
+assign litedramcore_choose_req_cmd_payload_ba = rhs_array_muxed8;
+assign litedramcore_choose_req_cmd_payload_is_read = rhs_array_muxed9;
+assign litedramcore_choose_req_cmd_payload_is_write = rhs_array_muxed10;
+assign litedramcore_choose_req_cmd_payload_is_cmd = rhs_array_muxed11;
 always @(*) begin
        litedramcore_choose_req_cmd_payload_cas = 1'd0;
        if (litedramcore_choose_req_cmd_valid) begin
-               litedramcore_choose_req_cmd_payload_cas = comb_t_array_muxed3;
+               litedramcore_choose_req_cmd_payload_cas = t_array_muxed3;
        end
 end
 always @(*) begin
        litedramcore_choose_req_cmd_payload_ras = 1'd0;
        if (litedramcore_choose_req_cmd_valid) begin
-               litedramcore_choose_req_cmd_payload_ras = comb_t_array_muxed4;
+               litedramcore_choose_req_cmd_payload_ras = t_array_muxed4;
        end
 end
 always @(*) begin
        litedramcore_choose_req_cmd_payload_we = 1'd0;
        if (litedramcore_choose_req_cmd_valid) begin
-               litedramcore_choose_req_cmd_payload_we = comb_t_array_muxed5;
+               litedramcore_choose_req_cmd_payload_we = t_array_muxed5;
        end
 end
 assign litedramcore_choose_req_ce = (litedramcore_choose_req_cmd_ready | (~litedramcore_choose_req_cmd_valid));
@@ -10330,44 +9798,44 @@ always @(*) begin
 end
 assign roundrobin0_request = {(((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((locked0 | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)};
 assign roundrobin0_ce = ((~litedramcore_interface_bank0_valid) & (~litedramcore_interface_bank0_lock));
-assign litedramcore_interface_bank0_addr = comb_rhs_array_muxed12;
-assign litedramcore_interface_bank0_we = comb_rhs_array_muxed13;
-assign litedramcore_interface_bank0_valid = comb_rhs_array_muxed14;
+assign litedramcore_interface_bank0_addr = rhs_array_muxed12;
+assign litedramcore_interface_bank0_we = rhs_array_muxed13;
+assign litedramcore_interface_bank0_valid = rhs_array_muxed14;
 assign roundrobin1_request = {(((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((locked1 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)};
 assign roundrobin1_ce = ((~litedramcore_interface_bank1_valid) & (~litedramcore_interface_bank1_lock));
-assign litedramcore_interface_bank1_addr = comb_rhs_array_muxed15;
-assign litedramcore_interface_bank1_we = comb_rhs_array_muxed16;
-assign litedramcore_interface_bank1_valid = comb_rhs_array_muxed17;
+assign litedramcore_interface_bank1_addr = rhs_array_muxed15;
+assign litedramcore_interface_bank1_we = rhs_array_muxed16;
+assign litedramcore_interface_bank1_valid = rhs_array_muxed17;
 assign roundrobin2_request = {(((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((locked2 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)};
 assign roundrobin2_ce = ((~litedramcore_interface_bank2_valid) & (~litedramcore_interface_bank2_lock));
-assign litedramcore_interface_bank2_addr = comb_rhs_array_muxed18;
-assign litedramcore_interface_bank2_we = comb_rhs_array_muxed19;
-assign litedramcore_interface_bank2_valid = comb_rhs_array_muxed20;
+assign litedramcore_interface_bank2_addr = rhs_array_muxed18;
+assign litedramcore_interface_bank2_we = rhs_array_muxed19;
+assign litedramcore_interface_bank2_valid = rhs_array_muxed20;
 assign roundrobin3_request = {(((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((locked3 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)};
 assign roundrobin3_ce = ((~litedramcore_interface_bank3_valid) & (~litedramcore_interface_bank3_lock));
-assign litedramcore_interface_bank3_addr = comb_rhs_array_muxed21;
-assign litedramcore_interface_bank3_we = comb_rhs_array_muxed22;
-assign litedramcore_interface_bank3_valid = comb_rhs_array_muxed23;
+assign litedramcore_interface_bank3_addr = rhs_array_muxed21;
+assign litedramcore_interface_bank3_we = rhs_array_muxed22;
+assign litedramcore_interface_bank3_valid = rhs_array_muxed23;
 assign roundrobin4_request = {(((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((locked4 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)};
 assign roundrobin4_ce = ((~litedramcore_interface_bank4_valid) & (~litedramcore_interface_bank4_lock));
-assign litedramcore_interface_bank4_addr = comb_rhs_array_muxed24;
-assign litedramcore_interface_bank4_we = comb_rhs_array_muxed25;
-assign litedramcore_interface_bank4_valid = comb_rhs_array_muxed26;
+assign litedramcore_interface_bank4_addr = rhs_array_muxed24;
+assign litedramcore_interface_bank4_we = rhs_array_muxed25;
+assign litedramcore_interface_bank4_valid = rhs_array_muxed26;
 assign roundrobin5_request = {(((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((locked5 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)};
 assign roundrobin5_ce = ((~litedramcore_interface_bank5_valid) & (~litedramcore_interface_bank5_lock));
-assign litedramcore_interface_bank5_addr = comb_rhs_array_muxed27;
-assign litedramcore_interface_bank5_we = comb_rhs_array_muxed28;
-assign litedramcore_interface_bank5_valid = comb_rhs_array_muxed29;
+assign litedramcore_interface_bank5_addr = rhs_array_muxed27;
+assign litedramcore_interface_bank5_we = rhs_array_muxed28;
+assign litedramcore_interface_bank5_valid = rhs_array_muxed29;
 assign roundrobin6_request = {(((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((locked6 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid)};
 assign roundrobin6_ce = ((~litedramcore_interface_bank6_valid) & (~litedramcore_interface_bank6_lock));
-assign litedramcore_interface_bank6_addr = comb_rhs_array_muxed30;
-assign litedramcore_interface_bank6_we = comb_rhs_array_muxed31;
-assign litedramcore_interface_bank6_valid = comb_rhs_array_muxed32;
+assign litedramcore_interface_bank6_addr = rhs_array_muxed30;
+assign litedramcore_interface_bank6_we = rhs_array_muxed31;
+assign litedramcore_interface_bank6_valid = rhs_array_muxed32;
 assign roundrobin7_request = {(((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((locked7 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))))) & user_port_cmd_valid)};
 assign roundrobin7_ce = ((~litedramcore_interface_bank7_valid) & (~litedramcore_interface_bank7_lock));
-assign litedramcore_interface_bank7_addr = comb_rhs_array_muxed33;
-assign litedramcore_interface_bank7_we = comb_rhs_array_muxed34;
-assign litedramcore_interface_bank7_valid = comb_rhs_array_muxed35;
+assign litedramcore_interface_bank7_addr = rhs_array_muxed33;
+assign litedramcore_interface_bank7_we = rhs_array_muxed34;
+assign litedramcore_interface_bank7_valid = rhs_array_muxed35;
 assign user_port_cmd_ready = ((((((((1'd0 | (((roundrobin0_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((locked0 | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank0_ready)) | (((roundrobin1_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((locked1 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank1_ready)) | (((roundrobin2_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((locked2 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank2_ready)) | (((roundrobin3_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((locked3 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank3_ready)) | (((roundrobin4_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((locked4 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank4_ready)) | (((roundrobin5_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((locked5 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank5_ready)) | (((roundrobin6_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((locked6 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0)))))) & litedramcore_interface_bank6_ready)) | (((roundrobin7_grant == 1'd0) & ((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((locked7 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0)))))) & litedramcore_interface_bank7_ready));
 assign user_port_wdata_ready = new_master_wdata_ready2;
 assign user_port_rdata_valid = new_master_rdata_valid9;
@@ -10413,128 +9881,228 @@ assign litedramcore_wishbone_we = wb_bus_we;
 assign litedramcore_wishbone_cti = wb_bus_cti;
 assign litedramcore_wishbone_bte = wb_bus_bte;
 assign wb_bus_err = litedramcore_wishbone_err;
-always @(*) begin
-       csrbank0_sel = 1'd0;
-       csrbank0_sel = (interface0_bank_bus_adr[13:9] == 2'd2);
-       if (interface0_bank_bus_adr[0]) begin
-               csrbank0_sel = 1'd0;
-       end
-end
+assign csrbank0_sel = (interface0_bank_bus_adr[13:9] == 2'd2);
 assign csrbank0_init_done0_r = interface0_bank_bus_dat_w[0];
-assign csrbank0_init_done0_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[1] == 1'd0));
-assign csrbank0_init_done0_we = ((csrbank0_sel & (~interface0_bank_bus_we)) & (interface0_bank_bus_adr[1] == 1'd0));
+assign csrbank0_init_done0_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[0] == 1'd0));
+assign csrbank0_init_done0_we = ((csrbank0_sel & (~interface0_bank_bus_we)) & (interface0_bank_bus_adr[0] == 1'd0));
 assign csrbank0_init_error0_r = interface0_bank_bus_dat_w[0];
-assign csrbank0_init_error0_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[1] == 1'd1));
-assign csrbank0_init_error0_we = ((csrbank0_sel & (~interface0_bank_bus_we)) & (interface0_bank_bus_adr[1] == 1'd1));
+assign csrbank0_init_error0_re = ((csrbank0_sel & interface0_bank_bus_we) & (interface0_bank_bus_adr[0] == 1'd1));
+assign csrbank0_init_error0_we = ((csrbank0_sel & (~interface0_bank_bus_we)) & (interface0_bank_bus_adr[0] == 1'd1));
 assign csrbank0_init_done0_w = init_done_storage;
 assign csrbank0_init_error0_w = init_error_storage;
-always @(*) begin
-       csrbank1_sel = 1'd0;
-       csrbank1_sel = (interface1_bank_bus_adr[13:9] == 1'd1);
-       if (interface1_bank_bus_adr[0]) begin
-               csrbank1_sel = 1'd0;
-       end
-end
+assign csrbank1_sel = (interface1_bank_bus_adr[13:9] == 1'd1);
 assign csrbank1_dfii_control0_r = interface1_bank_bus_dat_w[3:0];
-assign csrbank1_dfii_control0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:1] == 1'd0));
-assign csrbank1_dfii_control0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:1] == 1'd0));
+assign csrbank1_dfii_control0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 1'd0));
+assign csrbank1_dfii_control0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 1'd0));
 assign csrbank1_dfii_pi0_command0_r = interface1_bank_bus_dat_w[5:0];
-assign csrbank1_dfii_pi0_command0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:1] == 1'd1));
-assign csrbank1_dfii_pi0_command0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:1] == 1'd1));
+assign csrbank1_dfii_pi0_command0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 1'd1));
+assign csrbank1_dfii_pi0_command0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 1'd1));
 assign litedramcore_phaseinjector0_command_issue_r = interface1_bank_bus_dat_w[0];
-assign litedramcore_phaseinjector0_command_issue_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:1] == 2'd2));
-assign litedramcore_phaseinjector0_command_issue_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:1] == 2'd2));
-assign csrbank1_dfii_pi0_address0_r = interface1_bank_bus_dat_w[13:0];
-assign csrbank1_dfii_pi0_address0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:1] == 2'd3));
-assign csrbank1_dfii_pi0_address0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:1] == 2'd3));
+assign litedramcore_phaseinjector0_command_issue_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 2'd2));
+assign litedramcore_phaseinjector0_command_issue_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 2'd2));
+assign csrbank1_dfii_pi0_address1_r = interface1_bank_bus_dat_w[5:0];
+assign csrbank1_dfii_pi0_address1_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 2'd3));
+assign csrbank1_dfii_pi0_address1_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 2'd3));
+assign csrbank1_dfii_pi0_address0_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi0_address0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 3'd4));
+assign csrbank1_dfii_pi0_address0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 3'd4));
 assign csrbank1_dfii_pi0_baddress0_r = interface1_bank_bus_dat_w[2:0];
-assign csrbank1_dfii_pi0_baddress0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:1] == 3'd4));
-assign csrbank1_dfii_pi0_baddress0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:1] == 3'd4));
-assign csrbank1_dfii_pi0_wrdata0_r = interface1_bank_bus_dat_w[31:0];
-assign csrbank1_dfii_pi0_wrdata0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:1] == 3'd5));
-assign csrbank1_dfii_pi0_wrdata0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:1] == 3'd5));
-assign csrbank1_dfii_pi0_rddata_r = interface1_bank_bus_dat_w[31:0];
-assign csrbank1_dfii_pi0_rddata_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:1] == 3'd6));
-assign csrbank1_dfii_pi0_rddata_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:1] == 3'd6));
+assign csrbank1_dfii_pi0_baddress0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 3'd5));
+assign csrbank1_dfii_pi0_baddress0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 3'd5));
+assign csrbank1_dfii_pi0_wrdata3_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi0_wrdata3_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 3'd6));
+assign csrbank1_dfii_pi0_wrdata3_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 3'd6));
+assign csrbank1_dfii_pi0_wrdata2_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi0_wrdata2_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 3'd7));
+assign csrbank1_dfii_pi0_wrdata2_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 3'd7));
+assign csrbank1_dfii_pi0_wrdata1_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi0_wrdata1_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 4'd8));
+assign csrbank1_dfii_pi0_wrdata1_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 4'd8));
+assign csrbank1_dfii_pi0_wrdata0_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi0_wrdata0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 4'd9));
+assign csrbank1_dfii_pi0_wrdata0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 4'd9));
+assign csrbank1_dfii_pi0_rddata3_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi0_rddata3_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 4'd10));
+assign csrbank1_dfii_pi0_rddata3_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 4'd10));
+assign csrbank1_dfii_pi0_rddata2_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi0_rddata2_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 4'd11));
+assign csrbank1_dfii_pi0_rddata2_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 4'd11));
+assign csrbank1_dfii_pi0_rddata1_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi0_rddata1_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 4'd12));
+assign csrbank1_dfii_pi0_rddata1_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 4'd12));
+assign csrbank1_dfii_pi0_rddata0_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi0_rddata0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 4'd13));
+assign csrbank1_dfii_pi0_rddata0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 4'd13));
 assign csrbank1_dfii_pi1_command0_r = interface1_bank_bus_dat_w[5:0];
-assign csrbank1_dfii_pi1_command0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:1] == 3'd7));
-assign csrbank1_dfii_pi1_command0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:1] == 3'd7));
+assign csrbank1_dfii_pi1_command0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 4'd14));
+assign csrbank1_dfii_pi1_command0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 4'd14));
 assign litedramcore_phaseinjector1_command_issue_r = interface1_bank_bus_dat_w[0];
-assign litedramcore_phaseinjector1_command_issue_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:1] == 4'd8));
-assign litedramcore_phaseinjector1_command_issue_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:1] == 4'd8));
-assign csrbank1_dfii_pi1_address0_r = interface1_bank_bus_dat_w[13:0];
-assign csrbank1_dfii_pi1_address0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:1] == 4'd9));
-assign csrbank1_dfii_pi1_address0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:1] == 4'd9));
+assign litedramcore_phaseinjector1_command_issue_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 4'd15));
+assign litedramcore_phaseinjector1_command_issue_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 4'd15));
+assign csrbank1_dfii_pi1_address1_r = interface1_bank_bus_dat_w[5:0];
+assign csrbank1_dfii_pi1_address1_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 5'd16));
+assign csrbank1_dfii_pi1_address1_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 5'd16));
+assign csrbank1_dfii_pi1_address0_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi1_address0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 5'd17));
+assign csrbank1_dfii_pi1_address0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 5'd17));
 assign csrbank1_dfii_pi1_baddress0_r = interface1_bank_bus_dat_w[2:0];
-assign csrbank1_dfii_pi1_baddress0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:1] == 4'd10));
-assign csrbank1_dfii_pi1_baddress0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:1] == 4'd10));
-assign csrbank1_dfii_pi1_wrdata0_r = interface1_bank_bus_dat_w[31:0];
-assign csrbank1_dfii_pi1_wrdata0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:1] == 4'd11));
-assign csrbank1_dfii_pi1_wrdata0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:1] == 4'd11));
-assign csrbank1_dfii_pi1_rddata_r = interface1_bank_bus_dat_w[31:0];
-assign csrbank1_dfii_pi1_rddata_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:1] == 4'd12));
-assign csrbank1_dfii_pi1_rddata_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:1] == 4'd12));
+assign csrbank1_dfii_pi1_baddress0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 5'd18));
+assign csrbank1_dfii_pi1_baddress0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 5'd18));
+assign csrbank1_dfii_pi1_wrdata3_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi1_wrdata3_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 5'd19));
+assign csrbank1_dfii_pi1_wrdata3_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 5'd19));
+assign csrbank1_dfii_pi1_wrdata2_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi1_wrdata2_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 5'd20));
+assign csrbank1_dfii_pi1_wrdata2_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 5'd20));
+assign csrbank1_dfii_pi1_wrdata1_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi1_wrdata1_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 5'd21));
+assign csrbank1_dfii_pi1_wrdata1_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 5'd21));
+assign csrbank1_dfii_pi1_wrdata0_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi1_wrdata0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 5'd22));
+assign csrbank1_dfii_pi1_wrdata0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 5'd22));
+assign csrbank1_dfii_pi1_rddata3_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi1_rddata3_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 5'd23));
+assign csrbank1_dfii_pi1_rddata3_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 5'd23));
+assign csrbank1_dfii_pi1_rddata2_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi1_rddata2_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 5'd24));
+assign csrbank1_dfii_pi1_rddata2_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 5'd24));
+assign csrbank1_dfii_pi1_rddata1_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi1_rddata1_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 5'd25));
+assign csrbank1_dfii_pi1_rddata1_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 5'd25));
+assign csrbank1_dfii_pi1_rddata0_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi1_rddata0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 5'd26));
+assign csrbank1_dfii_pi1_rddata0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 5'd26));
 assign csrbank1_dfii_pi2_command0_r = interface1_bank_bus_dat_w[5:0];
-assign csrbank1_dfii_pi2_command0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:1] == 4'd13));
-assign csrbank1_dfii_pi2_command0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:1] == 4'd13));
+assign csrbank1_dfii_pi2_command0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 5'd27));
+assign csrbank1_dfii_pi2_command0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 5'd27));
 assign litedramcore_phaseinjector2_command_issue_r = interface1_bank_bus_dat_w[0];
-assign litedramcore_phaseinjector2_command_issue_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:1] == 4'd14));
-assign litedramcore_phaseinjector2_command_issue_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:1] == 4'd14));
-assign csrbank1_dfii_pi2_address0_r = interface1_bank_bus_dat_w[13:0];
-assign csrbank1_dfii_pi2_address0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:1] == 4'd15));
-assign csrbank1_dfii_pi2_address0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:1] == 4'd15));
+assign litedramcore_phaseinjector2_command_issue_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 5'd28));
+assign litedramcore_phaseinjector2_command_issue_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 5'd28));
+assign csrbank1_dfii_pi2_address1_r = interface1_bank_bus_dat_w[5:0];
+assign csrbank1_dfii_pi2_address1_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 5'd29));
+assign csrbank1_dfii_pi2_address1_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 5'd29));
+assign csrbank1_dfii_pi2_address0_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi2_address0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 5'd30));
+assign csrbank1_dfii_pi2_address0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 5'd30));
 assign csrbank1_dfii_pi2_baddress0_r = interface1_bank_bus_dat_w[2:0];
-assign csrbank1_dfii_pi2_baddress0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:1] == 5'd16));
-assign csrbank1_dfii_pi2_baddress0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:1] == 5'd16));
-assign csrbank1_dfii_pi2_wrdata0_r = interface1_bank_bus_dat_w[31:0];
-assign csrbank1_dfii_pi2_wrdata0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:1] == 5'd17));
-assign csrbank1_dfii_pi2_wrdata0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:1] == 5'd17));
-assign csrbank1_dfii_pi2_rddata_r = interface1_bank_bus_dat_w[31:0];
-assign csrbank1_dfii_pi2_rddata_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:1] == 5'd18));
-assign csrbank1_dfii_pi2_rddata_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:1] == 5'd18));
+assign csrbank1_dfii_pi2_baddress0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 5'd31));
+assign csrbank1_dfii_pi2_baddress0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 5'd31));
+assign csrbank1_dfii_pi2_wrdata3_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi2_wrdata3_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 6'd32));
+assign csrbank1_dfii_pi2_wrdata3_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 6'd32));
+assign csrbank1_dfii_pi2_wrdata2_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi2_wrdata2_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 6'd33));
+assign csrbank1_dfii_pi2_wrdata2_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 6'd33));
+assign csrbank1_dfii_pi2_wrdata1_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi2_wrdata1_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 6'd34));
+assign csrbank1_dfii_pi2_wrdata1_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 6'd34));
+assign csrbank1_dfii_pi2_wrdata0_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi2_wrdata0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 6'd35));
+assign csrbank1_dfii_pi2_wrdata0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 6'd35));
+assign csrbank1_dfii_pi2_rddata3_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi2_rddata3_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 6'd36));
+assign csrbank1_dfii_pi2_rddata3_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 6'd36));
+assign csrbank1_dfii_pi2_rddata2_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi2_rddata2_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 6'd37));
+assign csrbank1_dfii_pi2_rddata2_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 6'd37));
+assign csrbank1_dfii_pi2_rddata1_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi2_rddata1_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 6'd38));
+assign csrbank1_dfii_pi2_rddata1_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 6'd38));
+assign csrbank1_dfii_pi2_rddata0_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi2_rddata0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 6'd39));
+assign csrbank1_dfii_pi2_rddata0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 6'd39));
 assign csrbank1_dfii_pi3_command0_r = interface1_bank_bus_dat_w[5:0];
-assign csrbank1_dfii_pi3_command0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:1] == 5'd19));
-assign csrbank1_dfii_pi3_command0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:1] == 5'd19));
+assign csrbank1_dfii_pi3_command0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 6'd40));
+assign csrbank1_dfii_pi3_command0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 6'd40));
 assign litedramcore_phaseinjector3_command_issue_r = interface1_bank_bus_dat_w[0];
-assign litedramcore_phaseinjector3_command_issue_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:1] == 5'd20));
-assign litedramcore_phaseinjector3_command_issue_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:1] == 5'd20));
-assign csrbank1_dfii_pi3_address0_r = interface1_bank_bus_dat_w[13:0];
-assign csrbank1_dfii_pi3_address0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:1] == 5'd21));
-assign csrbank1_dfii_pi3_address0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:1] == 5'd21));
+assign litedramcore_phaseinjector3_command_issue_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 6'd41));
+assign litedramcore_phaseinjector3_command_issue_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 6'd41));
+assign csrbank1_dfii_pi3_address1_r = interface1_bank_bus_dat_w[5:0];
+assign csrbank1_dfii_pi3_address1_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 6'd42));
+assign csrbank1_dfii_pi3_address1_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 6'd42));
+assign csrbank1_dfii_pi3_address0_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi3_address0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 6'd43));
+assign csrbank1_dfii_pi3_address0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 6'd43));
 assign csrbank1_dfii_pi3_baddress0_r = interface1_bank_bus_dat_w[2:0];
-assign csrbank1_dfii_pi3_baddress0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:1] == 5'd22));
-assign csrbank1_dfii_pi3_baddress0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:1] == 5'd22));
-assign csrbank1_dfii_pi3_wrdata0_r = interface1_bank_bus_dat_w[31:0];
-assign csrbank1_dfii_pi3_wrdata0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:1] == 5'd23));
-assign csrbank1_dfii_pi3_wrdata0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:1] == 5'd23));
-assign csrbank1_dfii_pi3_rddata_r = interface1_bank_bus_dat_w[31:0];
-assign csrbank1_dfii_pi3_rddata_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:1] == 5'd24));
-assign csrbank1_dfii_pi3_rddata_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:1] == 5'd24));
+assign csrbank1_dfii_pi3_baddress0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 6'd44));
+assign csrbank1_dfii_pi3_baddress0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 6'd44));
+assign csrbank1_dfii_pi3_wrdata3_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi3_wrdata3_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 6'd45));
+assign csrbank1_dfii_pi3_wrdata3_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 6'd45));
+assign csrbank1_dfii_pi3_wrdata2_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi3_wrdata2_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 6'd46));
+assign csrbank1_dfii_pi3_wrdata2_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 6'd46));
+assign csrbank1_dfii_pi3_wrdata1_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi3_wrdata1_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 6'd47));
+assign csrbank1_dfii_pi3_wrdata1_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 6'd47));
+assign csrbank1_dfii_pi3_wrdata0_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi3_wrdata0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 6'd48));
+assign csrbank1_dfii_pi3_wrdata0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 6'd48));
+assign csrbank1_dfii_pi3_rddata3_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi3_rddata3_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 6'd49));
+assign csrbank1_dfii_pi3_rddata3_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 6'd49));
+assign csrbank1_dfii_pi3_rddata2_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi3_rddata2_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 6'd50));
+assign csrbank1_dfii_pi3_rddata2_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 6'd50));
+assign csrbank1_dfii_pi3_rddata1_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi3_rddata1_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 6'd51));
+assign csrbank1_dfii_pi3_rddata1_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 6'd51));
+assign csrbank1_dfii_pi3_rddata0_r = interface1_bank_bus_dat_w[7:0];
+assign csrbank1_dfii_pi3_rddata0_re = ((csrbank1_sel & interface1_bank_bus_we) & (interface1_bank_bus_adr[5:0] == 6'd52));
+assign csrbank1_dfii_pi3_rddata0_we = ((csrbank1_sel & (~interface1_bank_bus_we)) & (interface1_bank_bus_adr[5:0] == 6'd52));
 assign csrbank1_dfii_control0_w = litedramcore_storage[3:0];
 assign csrbank1_dfii_pi0_command0_w = litedramcore_phaseinjector0_command_storage[5:0];
-assign csrbank1_dfii_pi0_address0_w = litedramcore_phaseinjector0_address_storage[13:0];
+assign csrbank1_dfii_pi0_address1_w = litedramcore_phaseinjector0_address_storage[13:8];
+assign csrbank1_dfii_pi0_address0_w = litedramcore_phaseinjector0_address_storage[7:0];
 assign csrbank1_dfii_pi0_baddress0_w = litedramcore_phaseinjector0_baddress_storage[2:0];
-assign csrbank1_dfii_pi0_wrdata0_w = litedramcore_phaseinjector0_wrdata_storage[31:0];
-assign csrbank1_dfii_pi0_rddata_w = litedramcore_phaseinjector0_status[31:0];
-assign litedramcore_phaseinjector0_we = csrbank1_dfii_pi0_rddata_we;
+assign csrbank1_dfii_pi0_wrdata3_w = litedramcore_phaseinjector0_wrdata_storage[31:24];
+assign csrbank1_dfii_pi0_wrdata2_w = litedramcore_phaseinjector0_wrdata_storage[23:16];
+assign csrbank1_dfii_pi0_wrdata1_w = litedramcore_phaseinjector0_wrdata_storage[15:8];
+assign csrbank1_dfii_pi0_wrdata0_w = litedramcore_phaseinjector0_wrdata_storage[7:0];
+assign csrbank1_dfii_pi0_rddata3_w = litedramcore_phaseinjector0_status[31:24];
+assign csrbank1_dfii_pi0_rddata2_w = litedramcore_phaseinjector0_status[23:16];
+assign csrbank1_dfii_pi0_rddata1_w = litedramcore_phaseinjector0_status[15:8];
+assign csrbank1_dfii_pi0_rddata0_w = litedramcore_phaseinjector0_status[7:0];
+assign litedramcore_phaseinjector0_we = csrbank1_dfii_pi0_rddata0_we;
 assign csrbank1_dfii_pi1_command0_w = litedramcore_phaseinjector1_command_storage[5:0];
-assign csrbank1_dfii_pi1_address0_w = litedramcore_phaseinjector1_address_storage[13:0];
+assign csrbank1_dfii_pi1_address1_w = litedramcore_phaseinjector1_address_storage[13:8];
+assign csrbank1_dfii_pi1_address0_w = litedramcore_phaseinjector1_address_storage[7:0];
 assign csrbank1_dfii_pi1_baddress0_w = litedramcore_phaseinjector1_baddress_storage[2:0];
-assign csrbank1_dfii_pi1_wrdata0_w = litedramcore_phaseinjector1_wrdata_storage[31:0];
-assign csrbank1_dfii_pi1_rddata_w = litedramcore_phaseinjector1_status[31:0];
-assign litedramcore_phaseinjector1_we = csrbank1_dfii_pi1_rddata_we;
+assign csrbank1_dfii_pi1_wrdata3_w = litedramcore_phaseinjector1_wrdata_storage[31:24];
+assign csrbank1_dfii_pi1_wrdata2_w = litedramcore_phaseinjector1_wrdata_storage[23:16];
+assign csrbank1_dfii_pi1_wrdata1_w = litedramcore_phaseinjector1_wrdata_storage[15:8];
+assign csrbank1_dfii_pi1_wrdata0_w = litedramcore_phaseinjector1_wrdata_storage[7:0];
+assign csrbank1_dfii_pi1_rddata3_w = litedramcore_phaseinjector1_status[31:24];
+assign csrbank1_dfii_pi1_rddata2_w = litedramcore_phaseinjector1_status[23:16];
+assign csrbank1_dfii_pi1_rddata1_w = litedramcore_phaseinjector1_status[15:8];
+assign csrbank1_dfii_pi1_rddata0_w = litedramcore_phaseinjector1_status[7:0];
+assign litedramcore_phaseinjector1_we = csrbank1_dfii_pi1_rddata0_we;
 assign csrbank1_dfii_pi2_command0_w = litedramcore_phaseinjector2_command_storage[5:0];
-assign csrbank1_dfii_pi2_address0_w = litedramcore_phaseinjector2_address_storage[13:0];
+assign csrbank1_dfii_pi2_address1_w = litedramcore_phaseinjector2_address_storage[13:8];
+assign csrbank1_dfii_pi2_address0_w = litedramcore_phaseinjector2_address_storage[7:0];
 assign csrbank1_dfii_pi2_baddress0_w = litedramcore_phaseinjector2_baddress_storage[2:0];
-assign csrbank1_dfii_pi2_wrdata0_w = litedramcore_phaseinjector2_wrdata_storage[31:0];
-assign csrbank1_dfii_pi2_rddata_w = litedramcore_phaseinjector2_status[31:0];
-assign litedramcore_phaseinjector2_we = csrbank1_dfii_pi2_rddata_we;
+assign csrbank1_dfii_pi2_wrdata3_w = litedramcore_phaseinjector2_wrdata_storage[31:24];
+assign csrbank1_dfii_pi2_wrdata2_w = litedramcore_phaseinjector2_wrdata_storage[23:16];
+assign csrbank1_dfii_pi2_wrdata1_w = litedramcore_phaseinjector2_wrdata_storage[15:8];
+assign csrbank1_dfii_pi2_wrdata0_w = litedramcore_phaseinjector2_wrdata_storage[7:0];
+assign csrbank1_dfii_pi2_rddata3_w = litedramcore_phaseinjector2_status[31:24];
+assign csrbank1_dfii_pi2_rddata2_w = litedramcore_phaseinjector2_status[23:16];
+assign csrbank1_dfii_pi2_rddata1_w = litedramcore_phaseinjector2_status[15:8];
+assign csrbank1_dfii_pi2_rddata0_w = litedramcore_phaseinjector2_status[7:0];
+assign litedramcore_phaseinjector2_we = csrbank1_dfii_pi2_rddata0_we;
 assign csrbank1_dfii_pi3_command0_w = litedramcore_phaseinjector3_command_storage[5:0];
-assign csrbank1_dfii_pi3_address0_w = litedramcore_phaseinjector3_address_storage[13:0];
+assign csrbank1_dfii_pi3_address1_w = litedramcore_phaseinjector3_address_storage[13:8];
+assign csrbank1_dfii_pi3_address0_w = litedramcore_phaseinjector3_address_storage[7:0];
 assign csrbank1_dfii_pi3_baddress0_w = litedramcore_phaseinjector3_baddress_storage[2:0];
-assign csrbank1_dfii_pi3_wrdata0_w = litedramcore_phaseinjector3_wrdata_storage[31:0];
-assign csrbank1_dfii_pi3_rddata_w = litedramcore_phaseinjector3_status[31:0];
-assign litedramcore_phaseinjector3_we = csrbank1_dfii_pi3_rddata_we;
+assign csrbank1_dfii_pi3_wrdata3_w = litedramcore_phaseinjector3_wrdata_storage[31:24];
+assign csrbank1_dfii_pi3_wrdata2_w = litedramcore_phaseinjector3_wrdata_storage[23:16];
+assign csrbank1_dfii_pi3_wrdata1_w = litedramcore_phaseinjector3_wrdata_storage[15:8];
+assign csrbank1_dfii_pi3_wrdata0_w = litedramcore_phaseinjector3_wrdata_storage[7:0];
+assign csrbank1_dfii_pi3_rddata3_w = litedramcore_phaseinjector3_status[31:24];
+assign csrbank1_dfii_pi3_rddata2_w = litedramcore_phaseinjector3_status[23:16];
+assign csrbank1_dfii_pi3_rddata1_w = litedramcore_phaseinjector3_status[15:8];
+assign csrbank1_dfii_pi3_rddata0_w = litedramcore_phaseinjector3_status[7:0];
+assign litedramcore_phaseinjector3_we = csrbank1_dfii_pi3_rddata0_we;
 assign adr = litedramcore_adr;
 assign we = litedramcore_we;
 assign dat_w = litedramcore_dat_w;
@@ -10563,5336 +10131,1252 @@ assign slice_proxy13 = ((ddrphy_bankmodel6_row * 11'd1024) | ddrphy_bankmodel6_r
 assign slice_proxy14 = ((ddrphy_bankmodel7_row * 11'd1024) | ddrphy_bankmodel7_write_col);
 assign slice_proxy15 = ((ddrphy_bankmodel7_row * 11'd1024) | ddrphy_bankmodel7_read_col);
 always @(*) begin
-       comb_rhs_array_muxed0 = 1'd0;
+       rhs_array_muxed0 = 1'd0;
        case (litedramcore_choose_cmd_grant)
                1'd0: begin
-                       comb_rhs_array_muxed0 = litedramcore_choose_cmd_valids[0];
+                       rhs_array_muxed0 = litedramcore_choose_cmd_valids[0];
                end
                1'd1: begin
-                       comb_rhs_array_muxed0 = litedramcore_choose_cmd_valids[1];
+                       rhs_array_muxed0 = litedramcore_choose_cmd_valids[1];
                end
                2'd2: begin
-                       comb_rhs_array_muxed0 = litedramcore_choose_cmd_valids[2];
+                       rhs_array_muxed0 = litedramcore_choose_cmd_valids[2];
                end
                2'd3: begin
-                       comb_rhs_array_muxed0 = litedramcore_choose_cmd_valids[3];
+                       rhs_array_muxed0 = litedramcore_choose_cmd_valids[3];
                end
                3'd4: begin
-                       comb_rhs_array_muxed0 = litedramcore_choose_cmd_valids[4];
+                       rhs_array_muxed0 = litedramcore_choose_cmd_valids[4];
                end
                3'd5: begin
-                       comb_rhs_array_muxed0 = litedramcore_choose_cmd_valids[5];
+                       rhs_array_muxed0 = litedramcore_choose_cmd_valids[5];
                end
                3'd6: begin
-                       comb_rhs_array_muxed0 = litedramcore_choose_cmd_valids[6];
+                       rhs_array_muxed0 = litedramcore_choose_cmd_valids[6];
                end
                default: begin
-                       comb_rhs_array_muxed0 = litedramcore_choose_cmd_valids[7];
+                       rhs_array_muxed0 = litedramcore_choose_cmd_valids[7];
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed1 = 14'd0;
+       rhs_array_muxed1 = 14'd0;
        case (litedramcore_choose_cmd_grant)
                1'd0: begin
-                       comb_rhs_array_muxed1 = litedramcore_bankmachine0_cmd_payload_a;
+                       rhs_array_muxed1 = litedramcore_bankmachine0_cmd_payload_a;
                end
                1'd1: begin
-                       comb_rhs_array_muxed1 = litedramcore_bankmachine1_cmd_payload_a;
+                       rhs_array_muxed1 = litedramcore_bankmachine1_cmd_payload_a;
                end
                2'd2: begin
-                       comb_rhs_array_muxed1 = litedramcore_bankmachine2_cmd_payload_a;
+                       rhs_array_muxed1 = litedramcore_bankmachine2_cmd_payload_a;
                end
                2'd3: begin
-                       comb_rhs_array_muxed1 = litedramcore_bankmachine3_cmd_payload_a;
+                       rhs_array_muxed1 = litedramcore_bankmachine3_cmd_payload_a;
                end
                3'd4: begin
-                       comb_rhs_array_muxed1 = litedramcore_bankmachine4_cmd_payload_a;
+                       rhs_array_muxed1 = litedramcore_bankmachine4_cmd_payload_a;
                end
                3'd5: begin
-                       comb_rhs_array_muxed1 = litedramcore_bankmachine5_cmd_payload_a;
+                       rhs_array_muxed1 = litedramcore_bankmachine5_cmd_payload_a;
                end
                3'd6: begin
-                       comb_rhs_array_muxed1 = litedramcore_bankmachine6_cmd_payload_a;
+                       rhs_array_muxed1 = litedramcore_bankmachine6_cmd_payload_a;
                end
                default: begin
-                       comb_rhs_array_muxed1 = litedramcore_bankmachine7_cmd_payload_a;
+                       rhs_array_muxed1 = litedramcore_bankmachine7_cmd_payload_a;
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed2 = 3'd0;
+       rhs_array_muxed2 = 3'd0;
        case (litedramcore_choose_cmd_grant)
                1'd0: begin
-                       comb_rhs_array_muxed2 = litedramcore_bankmachine0_cmd_payload_ba;
+                       rhs_array_muxed2 = litedramcore_bankmachine0_cmd_payload_ba;
                end
                1'd1: begin
-                       comb_rhs_array_muxed2 = litedramcore_bankmachine1_cmd_payload_ba;
+                       rhs_array_muxed2 = litedramcore_bankmachine1_cmd_payload_ba;
                end
                2'd2: begin
-                       comb_rhs_array_muxed2 = litedramcore_bankmachine2_cmd_payload_ba;
+                       rhs_array_muxed2 = litedramcore_bankmachine2_cmd_payload_ba;
                end
                2'd3: begin
-                       comb_rhs_array_muxed2 = litedramcore_bankmachine3_cmd_payload_ba;
+                       rhs_array_muxed2 = litedramcore_bankmachine3_cmd_payload_ba;
                end
                3'd4: begin
-                       comb_rhs_array_muxed2 = litedramcore_bankmachine4_cmd_payload_ba;
+                       rhs_array_muxed2 = litedramcore_bankmachine4_cmd_payload_ba;
                end
                3'd5: begin
-                       comb_rhs_array_muxed2 = litedramcore_bankmachine5_cmd_payload_ba;
+                       rhs_array_muxed2 = litedramcore_bankmachine5_cmd_payload_ba;
                end
                3'd6: begin
-                       comb_rhs_array_muxed2 = litedramcore_bankmachine6_cmd_payload_ba;
+                       rhs_array_muxed2 = litedramcore_bankmachine6_cmd_payload_ba;
                end
                default: begin
-                       comb_rhs_array_muxed2 = litedramcore_bankmachine7_cmd_payload_ba;
+                       rhs_array_muxed2 = litedramcore_bankmachine7_cmd_payload_ba;
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed3 = 1'd0;
+       rhs_array_muxed3 = 1'd0;
        case (litedramcore_choose_cmd_grant)
                1'd0: begin
-                       comb_rhs_array_muxed3 = litedramcore_bankmachine0_cmd_payload_is_read;
+                       rhs_array_muxed3 = litedramcore_bankmachine0_cmd_payload_is_read;
                end
                1'd1: begin
-                       comb_rhs_array_muxed3 = litedramcore_bankmachine1_cmd_payload_is_read;
+                       rhs_array_muxed3 = litedramcore_bankmachine1_cmd_payload_is_read;
                end
                2'd2: begin
-                       comb_rhs_array_muxed3 = litedramcore_bankmachine2_cmd_payload_is_read;
+                       rhs_array_muxed3 = litedramcore_bankmachine2_cmd_payload_is_read;
                end
                2'd3: begin
-                       comb_rhs_array_muxed3 = litedramcore_bankmachine3_cmd_payload_is_read;
+                       rhs_array_muxed3 = litedramcore_bankmachine3_cmd_payload_is_read;
                end
                3'd4: begin
-                       comb_rhs_array_muxed3 = litedramcore_bankmachine4_cmd_payload_is_read;
+                       rhs_array_muxed3 = litedramcore_bankmachine4_cmd_payload_is_read;
                end
                3'd5: begin
-                       comb_rhs_array_muxed3 = litedramcore_bankmachine5_cmd_payload_is_read;
+                       rhs_array_muxed3 = litedramcore_bankmachine5_cmd_payload_is_read;
                end
                3'd6: begin
-                       comb_rhs_array_muxed3 = litedramcore_bankmachine6_cmd_payload_is_read;
+                       rhs_array_muxed3 = litedramcore_bankmachine6_cmd_payload_is_read;
                end
                default: begin
-                       comb_rhs_array_muxed3 = litedramcore_bankmachine7_cmd_payload_is_read;
+                       rhs_array_muxed3 = litedramcore_bankmachine7_cmd_payload_is_read;
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed4 = 1'd0;
+       rhs_array_muxed4 = 1'd0;
        case (litedramcore_choose_cmd_grant)
                1'd0: begin
-                       comb_rhs_array_muxed4 = litedramcore_bankmachine0_cmd_payload_is_write;
+                       rhs_array_muxed4 = litedramcore_bankmachine0_cmd_payload_is_write;
                end
                1'd1: begin
-                       comb_rhs_array_muxed4 = litedramcore_bankmachine1_cmd_payload_is_write;
+                       rhs_array_muxed4 = litedramcore_bankmachine1_cmd_payload_is_write;
                end
                2'd2: begin
-                       comb_rhs_array_muxed4 = litedramcore_bankmachine2_cmd_payload_is_write;
+                       rhs_array_muxed4 = litedramcore_bankmachine2_cmd_payload_is_write;
                end
                2'd3: begin
-                       comb_rhs_array_muxed4 = litedramcore_bankmachine3_cmd_payload_is_write;
+                       rhs_array_muxed4 = litedramcore_bankmachine3_cmd_payload_is_write;
                end
                3'd4: begin
-                       comb_rhs_array_muxed4 = litedramcore_bankmachine4_cmd_payload_is_write;
+                       rhs_array_muxed4 = litedramcore_bankmachine4_cmd_payload_is_write;
                end
                3'd5: begin
-                       comb_rhs_array_muxed4 = litedramcore_bankmachine5_cmd_payload_is_write;
+                       rhs_array_muxed4 = litedramcore_bankmachine5_cmd_payload_is_write;
                end
                3'd6: begin
-                       comb_rhs_array_muxed4 = litedramcore_bankmachine6_cmd_payload_is_write;
+                       rhs_array_muxed4 = litedramcore_bankmachine6_cmd_payload_is_write;
                end
                default: begin
-                       comb_rhs_array_muxed4 = litedramcore_bankmachine7_cmd_payload_is_write;
+                       rhs_array_muxed4 = litedramcore_bankmachine7_cmd_payload_is_write;
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed5 = 1'd0;
+       rhs_array_muxed5 = 1'd0;
        case (litedramcore_choose_cmd_grant)
                1'd0: begin
-                       comb_rhs_array_muxed5 = litedramcore_bankmachine0_cmd_payload_is_cmd;
+                       rhs_array_muxed5 = litedramcore_bankmachine0_cmd_payload_is_cmd;
                end
                1'd1: begin
-                       comb_rhs_array_muxed5 = litedramcore_bankmachine1_cmd_payload_is_cmd;
+                       rhs_array_muxed5 = litedramcore_bankmachine1_cmd_payload_is_cmd;
                end
                2'd2: begin
-                       comb_rhs_array_muxed5 = litedramcore_bankmachine2_cmd_payload_is_cmd;
+                       rhs_array_muxed5 = litedramcore_bankmachine2_cmd_payload_is_cmd;
                end
                2'd3: begin
-                       comb_rhs_array_muxed5 = litedramcore_bankmachine3_cmd_payload_is_cmd;
+                       rhs_array_muxed5 = litedramcore_bankmachine3_cmd_payload_is_cmd;
                end
                3'd4: begin
-                       comb_rhs_array_muxed5 = litedramcore_bankmachine4_cmd_payload_is_cmd;
+                       rhs_array_muxed5 = litedramcore_bankmachine4_cmd_payload_is_cmd;
                end
                3'd5: begin
-                       comb_rhs_array_muxed5 = litedramcore_bankmachine5_cmd_payload_is_cmd;
+                       rhs_array_muxed5 = litedramcore_bankmachine5_cmd_payload_is_cmd;
                end
                3'd6: begin
-                       comb_rhs_array_muxed5 = litedramcore_bankmachine6_cmd_payload_is_cmd;
+                       rhs_array_muxed5 = litedramcore_bankmachine6_cmd_payload_is_cmd;
                end
                default: begin
-                       comb_rhs_array_muxed5 = litedramcore_bankmachine7_cmd_payload_is_cmd;
+                       rhs_array_muxed5 = litedramcore_bankmachine7_cmd_payload_is_cmd;
                end
        endcase
 end
 always @(*) begin
-       comb_t_array_muxed0 = 1'd0;
+       t_array_muxed0 = 1'd0;
        case (litedramcore_choose_cmd_grant)
                1'd0: begin
-                       comb_t_array_muxed0 = litedramcore_bankmachine0_cmd_payload_cas;
+                       t_array_muxed0 = litedramcore_bankmachine0_cmd_payload_cas;
                end
                1'd1: begin
-                       comb_t_array_muxed0 = litedramcore_bankmachine1_cmd_payload_cas;
+                       t_array_muxed0 = litedramcore_bankmachine1_cmd_payload_cas;
                end
                2'd2: begin
-                       comb_t_array_muxed0 = litedramcore_bankmachine2_cmd_payload_cas;
+                       t_array_muxed0 = litedramcore_bankmachine2_cmd_payload_cas;
                end
                2'd3: begin
-                       comb_t_array_muxed0 = litedramcore_bankmachine3_cmd_payload_cas;
+                       t_array_muxed0 = litedramcore_bankmachine3_cmd_payload_cas;
                end
                3'd4: begin
-                       comb_t_array_muxed0 = litedramcore_bankmachine4_cmd_payload_cas;
+                       t_array_muxed0 = litedramcore_bankmachine4_cmd_payload_cas;
                end
                3'd5: begin
-                       comb_t_array_muxed0 = litedramcore_bankmachine5_cmd_payload_cas;
+                       t_array_muxed0 = litedramcore_bankmachine5_cmd_payload_cas;
                end
                3'd6: begin
-                       comb_t_array_muxed0 = litedramcore_bankmachine6_cmd_payload_cas;
+                       t_array_muxed0 = litedramcore_bankmachine6_cmd_payload_cas;
                end
                default: begin
-                       comb_t_array_muxed0 = litedramcore_bankmachine7_cmd_payload_cas;
+                       t_array_muxed0 = litedramcore_bankmachine7_cmd_payload_cas;
                end
        endcase
 end
 always @(*) begin
-       comb_t_array_muxed1 = 1'd0;
+       t_array_muxed1 = 1'd0;
        case (litedramcore_choose_cmd_grant)
                1'd0: begin
-                       comb_t_array_muxed1 = litedramcore_bankmachine0_cmd_payload_ras;
+                       t_array_muxed1 = litedramcore_bankmachine0_cmd_payload_ras;
                end
                1'd1: begin
-                       comb_t_array_muxed1 = litedramcore_bankmachine1_cmd_payload_ras;
+                       t_array_muxed1 = litedramcore_bankmachine1_cmd_payload_ras;
                end
                2'd2: begin
-                       comb_t_array_muxed1 = litedramcore_bankmachine2_cmd_payload_ras;
+                       t_array_muxed1 = litedramcore_bankmachine2_cmd_payload_ras;
                end
                2'd3: begin
-                       comb_t_array_muxed1 = litedramcore_bankmachine3_cmd_payload_ras;
+                       t_array_muxed1 = litedramcore_bankmachine3_cmd_payload_ras;
                end
                3'd4: begin
-                       comb_t_array_muxed1 = litedramcore_bankmachine4_cmd_payload_ras;
+                       t_array_muxed1 = litedramcore_bankmachine4_cmd_payload_ras;
                end
                3'd5: begin
-                       comb_t_array_muxed1 = litedramcore_bankmachine5_cmd_payload_ras;
+                       t_array_muxed1 = litedramcore_bankmachine5_cmd_payload_ras;
                end
                3'd6: begin
-                       comb_t_array_muxed1 = litedramcore_bankmachine6_cmd_payload_ras;
+                       t_array_muxed1 = litedramcore_bankmachine6_cmd_payload_ras;
                end
                default: begin
-                       comb_t_array_muxed1 = litedramcore_bankmachine7_cmd_payload_ras;
+                       t_array_muxed1 = litedramcore_bankmachine7_cmd_payload_ras;
                end
        endcase
 end
 always @(*) begin
-       comb_t_array_muxed2 = 1'd0;
+       t_array_muxed2 = 1'd0;
        case (litedramcore_choose_cmd_grant)
                1'd0: begin
-                       comb_t_array_muxed2 = litedramcore_bankmachine0_cmd_payload_we;
+                       t_array_muxed2 = litedramcore_bankmachine0_cmd_payload_we;
                end
                1'd1: begin
-                       comb_t_array_muxed2 = litedramcore_bankmachine1_cmd_payload_we;
+                       t_array_muxed2 = litedramcore_bankmachine1_cmd_payload_we;
                end
                2'd2: begin
-                       comb_t_array_muxed2 = litedramcore_bankmachine2_cmd_payload_we;
+                       t_array_muxed2 = litedramcore_bankmachine2_cmd_payload_we;
                end
                2'd3: begin
-                       comb_t_array_muxed2 = litedramcore_bankmachine3_cmd_payload_we;
+                       t_array_muxed2 = litedramcore_bankmachine3_cmd_payload_we;
                end
                3'd4: begin
-                       comb_t_array_muxed2 = litedramcore_bankmachine4_cmd_payload_we;
+                       t_array_muxed2 = litedramcore_bankmachine4_cmd_payload_we;
                end
                3'd5: begin
-                       comb_t_array_muxed2 = litedramcore_bankmachine5_cmd_payload_we;
+                       t_array_muxed2 = litedramcore_bankmachine5_cmd_payload_we;
                end
                3'd6: begin
-                       comb_t_array_muxed2 = litedramcore_bankmachine6_cmd_payload_we;
+                       t_array_muxed2 = litedramcore_bankmachine6_cmd_payload_we;
                end
                default: begin
-                       comb_t_array_muxed2 = litedramcore_bankmachine7_cmd_payload_we;
+                       t_array_muxed2 = litedramcore_bankmachine7_cmd_payload_we;
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed6 = 1'd0;
+       rhs_array_muxed6 = 1'd0;
        case (litedramcore_choose_req_grant)
                1'd0: begin
-                       comb_rhs_array_muxed6 = litedramcore_choose_req_valids[0];
+                       rhs_array_muxed6 = litedramcore_choose_req_valids[0];
                end
                1'd1: begin
-                       comb_rhs_array_muxed6 = litedramcore_choose_req_valids[1];
+                       rhs_array_muxed6 = litedramcore_choose_req_valids[1];
                end
                2'd2: begin
-                       comb_rhs_array_muxed6 = litedramcore_choose_req_valids[2];
+                       rhs_array_muxed6 = litedramcore_choose_req_valids[2];
                end
                2'd3: begin
-                       comb_rhs_array_muxed6 = litedramcore_choose_req_valids[3];
+                       rhs_array_muxed6 = litedramcore_choose_req_valids[3];
                end
                3'd4: begin
-                       comb_rhs_array_muxed6 = litedramcore_choose_req_valids[4];
+                       rhs_array_muxed6 = litedramcore_choose_req_valids[4];
                end
                3'd5: begin
-                       comb_rhs_array_muxed6 = litedramcore_choose_req_valids[5];
+                       rhs_array_muxed6 = litedramcore_choose_req_valids[5];
                end
                3'd6: begin
-                       comb_rhs_array_muxed6 = litedramcore_choose_req_valids[6];
+                       rhs_array_muxed6 = litedramcore_choose_req_valids[6];
                end
                default: begin
-                       comb_rhs_array_muxed6 = litedramcore_choose_req_valids[7];
+                       rhs_array_muxed6 = litedramcore_choose_req_valids[7];
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed7 = 14'd0;
+       rhs_array_muxed7 = 14'd0;
        case (litedramcore_choose_req_grant)
                1'd0: begin
-                       comb_rhs_array_muxed7 = litedramcore_bankmachine0_cmd_payload_a;
+                       rhs_array_muxed7 = litedramcore_bankmachine0_cmd_payload_a;
                end
                1'd1: begin
-                       comb_rhs_array_muxed7 = litedramcore_bankmachine1_cmd_payload_a;
+                       rhs_array_muxed7 = litedramcore_bankmachine1_cmd_payload_a;
                end
                2'd2: begin
-                       comb_rhs_array_muxed7 = litedramcore_bankmachine2_cmd_payload_a;
+                       rhs_array_muxed7 = litedramcore_bankmachine2_cmd_payload_a;
                end
                2'd3: begin
-                       comb_rhs_array_muxed7 = litedramcore_bankmachine3_cmd_payload_a;
+                       rhs_array_muxed7 = litedramcore_bankmachine3_cmd_payload_a;
                end
                3'd4: begin
-                       comb_rhs_array_muxed7 = litedramcore_bankmachine4_cmd_payload_a;
+                       rhs_array_muxed7 = litedramcore_bankmachine4_cmd_payload_a;
                end
                3'd5: begin
-                       comb_rhs_array_muxed7 = litedramcore_bankmachine5_cmd_payload_a;
+                       rhs_array_muxed7 = litedramcore_bankmachine5_cmd_payload_a;
                end
                3'd6: begin
-                       comb_rhs_array_muxed7 = litedramcore_bankmachine6_cmd_payload_a;
+                       rhs_array_muxed7 = litedramcore_bankmachine6_cmd_payload_a;
                end
                default: begin
-                       comb_rhs_array_muxed7 = litedramcore_bankmachine7_cmd_payload_a;
+                       rhs_array_muxed7 = litedramcore_bankmachine7_cmd_payload_a;
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed8 = 3'd0;
+       rhs_array_muxed8 = 3'd0;
        case (litedramcore_choose_req_grant)
                1'd0: begin
-                       comb_rhs_array_muxed8 = litedramcore_bankmachine0_cmd_payload_ba;
+                       rhs_array_muxed8 = litedramcore_bankmachine0_cmd_payload_ba;
                end
                1'd1: begin
-                       comb_rhs_array_muxed8 = litedramcore_bankmachine1_cmd_payload_ba;
+                       rhs_array_muxed8 = litedramcore_bankmachine1_cmd_payload_ba;
                end
                2'd2: begin
-                       comb_rhs_array_muxed8 = litedramcore_bankmachine2_cmd_payload_ba;
+                       rhs_array_muxed8 = litedramcore_bankmachine2_cmd_payload_ba;
                end
                2'd3: begin
-                       comb_rhs_array_muxed8 = litedramcore_bankmachine3_cmd_payload_ba;
+                       rhs_array_muxed8 = litedramcore_bankmachine3_cmd_payload_ba;
                end
                3'd4: begin
-                       comb_rhs_array_muxed8 = litedramcore_bankmachine4_cmd_payload_ba;
+                       rhs_array_muxed8 = litedramcore_bankmachine4_cmd_payload_ba;
                end
                3'd5: begin
-                       comb_rhs_array_muxed8 = litedramcore_bankmachine5_cmd_payload_ba;
+                       rhs_array_muxed8 = litedramcore_bankmachine5_cmd_payload_ba;
                end
                3'd6: begin
-                       comb_rhs_array_muxed8 = litedramcore_bankmachine6_cmd_payload_ba;
+                       rhs_array_muxed8 = litedramcore_bankmachine6_cmd_payload_ba;
                end
                default: begin
-                       comb_rhs_array_muxed8 = litedramcore_bankmachine7_cmd_payload_ba;
+                       rhs_array_muxed8 = litedramcore_bankmachine7_cmd_payload_ba;
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed9 = 1'd0;
+       rhs_array_muxed9 = 1'd0;
        case (litedramcore_choose_req_grant)
                1'd0: begin
-                       comb_rhs_array_muxed9 = litedramcore_bankmachine0_cmd_payload_is_read;
+                       rhs_array_muxed9 = litedramcore_bankmachine0_cmd_payload_is_read;
                end
                1'd1: begin
-                       comb_rhs_array_muxed9 = litedramcore_bankmachine1_cmd_payload_is_read;
+                       rhs_array_muxed9 = litedramcore_bankmachine1_cmd_payload_is_read;
                end
                2'd2: begin
-                       comb_rhs_array_muxed9 = litedramcore_bankmachine2_cmd_payload_is_read;
+                       rhs_array_muxed9 = litedramcore_bankmachine2_cmd_payload_is_read;
                end
                2'd3: begin
-                       comb_rhs_array_muxed9 = litedramcore_bankmachine3_cmd_payload_is_read;
+                       rhs_array_muxed9 = litedramcore_bankmachine3_cmd_payload_is_read;
                end
                3'd4: begin
-                       comb_rhs_array_muxed9 = litedramcore_bankmachine4_cmd_payload_is_read;
+                       rhs_array_muxed9 = litedramcore_bankmachine4_cmd_payload_is_read;
                end
                3'd5: begin
-                       comb_rhs_array_muxed9 = litedramcore_bankmachine5_cmd_payload_is_read;
+                       rhs_array_muxed9 = litedramcore_bankmachine5_cmd_payload_is_read;
                end
                3'd6: begin
-                       comb_rhs_array_muxed9 = litedramcore_bankmachine6_cmd_payload_is_read;
+                       rhs_array_muxed9 = litedramcore_bankmachine6_cmd_payload_is_read;
                end
                default: begin
-                       comb_rhs_array_muxed9 = litedramcore_bankmachine7_cmd_payload_is_read;
+                       rhs_array_muxed9 = litedramcore_bankmachine7_cmd_payload_is_read;
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed10 = 1'd0;
+       rhs_array_muxed10 = 1'd0;
        case (litedramcore_choose_req_grant)
                1'd0: begin
-                       comb_rhs_array_muxed10 = litedramcore_bankmachine0_cmd_payload_is_write;
+                       rhs_array_muxed10 = litedramcore_bankmachine0_cmd_payload_is_write;
                end
                1'd1: begin
-                       comb_rhs_array_muxed10 = litedramcore_bankmachine1_cmd_payload_is_write;
+                       rhs_array_muxed10 = litedramcore_bankmachine1_cmd_payload_is_write;
                end
                2'd2: begin
-                       comb_rhs_array_muxed10 = litedramcore_bankmachine2_cmd_payload_is_write;
+                       rhs_array_muxed10 = litedramcore_bankmachine2_cmd_payload_is_write;
                end
                2'd3: begin
-                       comb_rhs_array_muxed10 = litedramcore_bankmachine3_cmd_payload_is_write;
+                       rhs_array_muxed10 = litedramcore_bankmachine3_cmd_payload_is_write;
                end
                3'd4: begin
-                       comb_rhs_array_muxed10 = litedramcore_bankmachine4_cmd_payload_is_write;
+                       rhs_array_muxed10 = litedramcore_bankmachine4_cmd_payload_is_write;
                end
                3'd5: begin
-                       comb_rhs_array_muxed10 = litedramcore_bankmachine5_cmd_payload_is_write;
+                       rhs_array_muxed10 = litedramcore_bankmachine5_cmd_payload_is_write;
                end
                3'd6: begin
-                       comb_rhs_array_muxed10 = litedramcore_bankmachine6_cmd_payload_is_write;
+                       rhs_array_muxed10 = litedramcore_bankmachine6_cmd_payload_is_write;
                end
                default: begin
-                       comb_rhs_array_muxed10 = litedramcore_bankmachine7_cmd_payload_is_write;
+                       rhs_array_muxed10 = litedramcore_bankmachine7_cmd_payload_is_write;
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed11 = 1'd0;
+       rhs_array_muxed11 = 1'd0;
        case (litedramcore_choose_req_grant)
                1'd0: begin
-                       comb_rhs_array_muxed11 = litedramcore_bankmachine0_cmd_payload_is_cmd;
+                       rhs_array_muxed11 = litedramcore_bankmachine0_cmd_payload_is_cmd;
                end
                1'd1: begin
-                       comb_rhs_array_muxed11 = litedramcore_bankmachine1_cmd_payload_is_cmd;
+                       rhs_array_muxed11 = litedramcore_bankmachine1_cmd_payload_is_cmd;
                end
                2'd2: begin
-                       comb_rhs_array_muxed11 = litedramcore_bankmachine2_cmd_payload_is_cmd;
+                       rhs_array_muxed11 = litedramcore_bankmachine2_cmd_payload_is_cmd;
                end
                2'd3: begin
-                       comb_rhs_array_muxed11 = litedramcore_bankmachine3_cmd_payload_is_cmd;
+                       rhs_array_muxed11 = litedramcore_bankmachine3_cmd_payload_is_cmd;
                end
                3'd4: begin
-                       comb_rhs_array_muxed11 = litedramcore_bankmachine4_cmd_payload_is_cmd;
+                       rhs_array_muxed11 = litedramcore_bankmachine4_cmd_payload_is_cmd;
                end
                3'd5: begin
-                       comb_rhs_array_muxed11 = litedramcore_bankmachine5_cmd_payload_is_cmd;
+                       rhs_array_muxed11 = litedramcore_bankmachine5_cmd_payload_is_cmd;
                end
                3'd6: begin
-                       comb_rhs_array_muxed11 = litedramcore_bankmachine6_cmd_payload_is_cmd;
+                       rhs_array_muxed11 = litedramcore_bankmachine6_cmd_payload_is_cmd;
                end
                default: begin
-                       comb_rhs_array_muxed11 = litedramcore_bankmachine7_cmd_payload_is_cmd;
+                       rhs_array_muxed11 = litedramcore_bankmachine7_cmd_payload_is_cmd;
                end
        endcase
 end
 always @(*) begin
-       comb_t_array_muxed3 = 1'd0;
+       t_array_muxed3 = 1'd0;
        case (litedramcore_choose_req_grant)
                1'd0: begin
-                       comb_t_array_muxed3 = litedramcore_bankmachine0_cmd_payload_cas;
+                       t_array_muxed3 = litedramcore_bankmachine0_cmd_payload_cas;
                end
                1'd1: begin
-                       comb_t_array_muxed3 = litedramcore_bankmachine1_cmd_payload_cas;
+                       t_array_muxed3 = litedramcore_bankmachine1_cmd_payload_cas;
                end
                2'd2: begin
-                       comb_t_array_muxed3 = litedramcore_bankmachine2_cmd_payload_cas;
+                       t_array_muxed3 = litedramcore_bankmachine2_cmd_payload_cas;
                end
                2'd3: begin
-                       comb_t_array_muxed3 = litedramcore_bankmachine3_cmd_payload_cas;
+                       t_array_muxed3 = litedramcore_bankmachine3_cmd_payload_cas;
                end
                3'd4: begin
-                       comb_t_array_muxed3 = litedramcore_bankmachine4_cmd_payload_cas;
+                       t_array_muxed3 = litedramcore_bankmachine4_cmd_payload_cas;
                end
                3'd5: begin
-                       comb_t_array_muxed3 = litedramcore_bankmachine5_cmd_payload_cas;
+                       t_array_muxed3 = litedramcore_bankmachine5_cmd_payload_cas;
                end
                3'd6: begin
-                       comb_t_array_muxed3 = litedramcore_bankmachine6_cmd_payload_cas;
+                       t_array_muxed3 = litedramcore_bankmachine6_cmd_payload_cas;
                end
                default: begin
-                       comb_t_array_muxed3 = litedramcore_bankmachine7_cmd_payload_cas;
+                       t_array_muxed3 = litedramcore_bankmachine7_cmd_payload_cas;
                end
        endcase
 end
 always @(*) begin
-       comb_t_array_muxed4 = 1'd0;
+       t_array_muxed4 = 1'd0;
        case (litedramcore_choose_req_grant)
                1'd0: begin
-                       comb_t_array_muxed4 = litedramcore_bankmachine0_cmd_payload_ras;
+                       t_array_muxed4 = litedramcore_bankmachine0_cmd_payload_ras;
                end
                1'd1: begin
-                       comb_t_array_muxed4 = litedramcore_bankmachine1_cmd_payload_ras;
+                       t_array_muxed4 = litedramcore_bankmachine1_cmd_payload_ras;
                end
                2'd2: begin
-                       comb_t_array_muxed4 = litedramcore_bankmachine2_cmd_payload_ras;
+                       t_array_muxed4 = litedramcore_bankmachine2_cmd_payload_ras;
                end
                2'd3: begin
-                       comb_t_array_muxed4 = litedramcore_bankmachine3_cmd_payload_ras;
+                       t_array_muxed4 = litedramcore_bankmachine3_cmd_payload_ras;
                end
                3'd4: begin
-                       comb_t_array_muxed4 = litedramcore_bankmachine4_cmd_payload_ras;
+                       t_array_muxed4 = litedramcore_bankmachine4_cmd_payload_ras;
                end
                3'd5: begin
-                       comb_t_array_muxed4 = litedramcore_bankmachine5_cmd_payload_ras;
+                       t_array_muxed4 = litedramcore_bankmachine5_cmd_payload_ras;
                end
                3'd6: begin
-                       comb_t_array_muxed4 = litedramcore_bankmachine6_cmd_payload_ras;
+                       t_array_muxed4 = litedramcore_bankmachine6_cmd_payload_ras;
                end
                default: begin
-                       comb_t_array_muxed4 = litedramcore_bankmachine7_cmd_payload_ras;
+                       t_array_muxed4 = litedramcore_bankmachine7_cmd_payload_ras;
                end
        endcase
 end
 always @(*) begin
-       comb_t_array_muxed5 = 1'd0;
+       t_array_muxed5 = 1'd0;
        case (litedramcore_choose_req_grant)
                1'd0: begin
-                       comb_t_array_muxed5 = litedramcore_bankmachine0_cmd_payload_we;
+                       t_array_muxed5 = litedramcore_bankmachine0_cmd_payload_we;
                end
                1'd1: begin
-                       comb_t_array_muxed5 = litedramcore_bankmachine1_cmd_payload_we;
+                       t_array_muxed5 = litedramcore_bankmachine1_cmd_payload_we;
                end
                2'd2: begin
-                       comb_t_array_muxed5 = litedramcore_bankmachine2_cmd_payload_we;
+                       t_array_muxed5 = litedramcore_bankmachine2_cmd_payload_we;
                end
                2'd3: begin
-                       comb_t_array_muxed5 = litedramcore_bankmachine3_cmd_payload_we;
+                       t_array_muxed5 = litedramcore_bankmachine3_cmd_payload_we;
                end
                3'd4: begin
-                       comb_t_array_muxed5 = litedramcore_bankmachine4_cmd_payload_we;
+                       t_array_muxed5 = litedramcore_bankmachine4_cmd_payload_we;
                end
                3'd5: begin
-                       comb_t_array_muxed5 = litedramcore_bankmachine5_cmd_payload_we;
+                       t_array_muxed5 = litedramcore_bankmachine5_cmd_payload_we;
                end
                3'd6: begin
-                       comb_t_array_muxed5 = litedramcore_bankmachine6_cmd_payload_we;
+                       t_array_muxed5 = litedramcore_bankmachine6_cmd_payload_we;
                end
                default: begin
-                       comb_t_array_muxed5 = litedramcore_bankmachine7_cmd_payload_we;
+                       t_array_muxed5 = litedramcore_bankmachine7_cmd_payload_we;
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed12 = 21'd0;
+       rhs_array_muxed12 = 21'd0;
        case (roundrobin0_grant)
                default: begin
-                       comb_rhs_array_muxed12 = {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]};
+                       rhs_array_muxed12 = {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]};
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed13 = 1'd0;
+       rhs_array_muxed13 = 1'd0;
        case (roundrobin0_grant)
                default: begin
-                       comb_rhs_array_muxed13 = user_port_cmd_payload_we;
+                       rhs_array_muxed13 = user_port_cmd_payload_we;
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed14 = 1'd0;
+       rhs_array_muxed14 = 1'd0;
        case (roundrobin0_grant)
                default: begin
-                       comb_rhs_array_muxed14 = (((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((locked0 | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
+                       rhs_array_muxed14 = (((user_port_cmd_payload_addr[9:7] == 1'd0) & (~(((((((locked0 | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed15 = 21'd0;
+       rhs_array_muxed15 = 21'd0;
        case (roundrobin1_grant)
                default: begin
-                       comb_rhs_array_muxed15 = {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]};
+                       rhs_array_muxed15 = {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]};
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed16 = 1'd0;
+       rhs_array_muxed16 = 1'd0;
        case (roundrobin1_grant)
                default: begin
-                       comb_rhs_array_muxed16 = user_port_cmd_payload_we;
+                       rhs_array_muxed16 = user_port_cmd_payload_we;
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed17 = 1'd0;
+       rhs_array_muxed17 = 1'd0;
        case (roundrobin1_grant)
                default: begin
-                       comb_rhs_array_muxed17 = (((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((locked1 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
+                       rhs_array_muxed17 = (((user_port_cmd_payload_addr[9:7] == 1'd1) & (~(((((((locked1 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed18 = 21'd0;
+       rhs_array_muxed18 = 21'd0;
        case (roundrobin2_grant)
                default: begin
-                       comb_rhs_array_muxed18 = {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]};
+                       rhs_array_muxed18 = {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]};
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed19 = 1'd0;
+       rhs_array_muxed19 = 1'd0;
        case (roundrobin2_grant)
                default: begin
-                       comb_rhs_array_muxed19 = user_port_cmd_payload_we;
+                       rhs_array_muxed19 = user_port_cmd_payload_we;
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed20 = 1'd0;
+       rhs_array_muxed20 = 1'd0;
        case (roundrobin2_grant)
                default: begin
-                       comb_rhs_array_muxed20 = (((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((locked2 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
+                       rhs_array_muxed20 = (((user_port_cmd_payload_addr[9:7] == 2'd2) & (~(((((((locked2 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed21 = 21'd0;
+       rhs_array_muxed21 = 21'd0;
        case (roundrobin3_grant)
                default: begin
-                       comb_rhs_array_muxed21 = {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]};
+                       rhs_array_muxed21 = {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]};
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed22 = 1'd0;
+       rhs_array_muxed22 = 1'd0;
        case (roundrobin3_grant)
                default: begin
-                       comb_rhs_array_muxed22 = user_port_cmd_payload_we;
+                       rhs_array_muxed22 = user_port_cmd_payload_we;
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed23 = 1'd0;
+       rhs_array_muxed23 = 1'd0;
        case (roundrobin3_grant)
                default: begin
-                       comb_rhs_array_muxed23 = (((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((locked3 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
+                       rhs_array_muxed23 = (((user_port_cmd_payload_addr[9:7] == 2'd3) & (~(((((((locked3 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed24 = 21'd0;
+       rhs_array_muxed24 = 21'd0;
        case (roundrobin4_grant)
                default: begin
-                       comb_rhs_array_muxed24 = {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]};
+                       rhs_array_muxed24 = {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]};
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed25 = 1'd0;
+       rhs_array_muxed25 = 1'd0;
        case (roundrobin4_grant)
                default: begin
-                       comb_rhs_array_muxed25 = user_port_cmd_payload_we;
+                       rhs_array_muxed25 = user_port_cmd_payload_we;
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed26 = 1'd0;
+       rhs_array_muxed26 = 1'd0;
        case (roundrobin4_grant)
                default: begin
-                       comb_rhs_array_muxed26 = (((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((locked4 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
+                       rhs_array_muxed26 = (((user_port_cmd_payload_addr[9:7] == 3'd4) & (~(((((((locked4 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed27 = 21'd0;
+       rhs_array_muxed27 = 21'd0;
        case (roundrobin5_grant)
                default: begin
-                       comb_rhs_array_muxed27 = {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]};
+                       rhs_array_muxed27 = {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]};
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed28 = 1'd0;
+       rhs_array_muxed28 = 1'd0;
        case (roundrobin5_grant)
                default: begin
-                       comb_rhs_array_muxed28 = user_port_cmd_payload_we;
+                       rhs_array_muxed28 = user_port_cmd_payload_we;
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed29 = 1'd0;
+       rhs_array_muxed29 = 1'd0;
        case (roundrobin5_grant)
                default: begin
-                       comb_rhs_array_muxed29 = (((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((locked5 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
+                       rhs_array_muxed29 = (((user_port_cmd_payload_addr[9:7] == 3'd5) & (~(((((((locked5 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed30 = 21'd0;
+       rhs_array_muxed30 = 21'd0;
        case (roundrobin6_grant)
                default: begin
-                       comb_rhs_array_muxed30 = {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]};
+                       rhs_array_muxed30 = {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]};
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed31 = 1'd0;
+       rhs_array_muxed31 = 1'd0;
        case (roundrobin6_grant)
                default: begin
-                       comb_rhs_array_muxed31 = user_port_cmd_payload_we;
+                       rhs_array_muxed31 = user_port_cmd_payload_we;
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed32 = 1'd0;
+       rhs_array_muxed32 = 1'd0;
        case (roundrobin6_grant)
                default: begin
-                       comb_rhs_array_muxed32 = (((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((locked6 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
+                       rhs_array_muxed32 = (((user_port_cmd_payload_addr[9:7] == 3'd6) & (~(((((((locked6 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank7_lock & (roundrobin7_grant == 1'd0))))) & user_port_cmd_valid);
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed33 = 21'd0;
+       rhs_array_muxed33 = 21'd0;
        case (roundrobin7_grant)
                default: begin
-                       comb_rhs_array_muxed33 = {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]};
+                       rhs_array_muxed33 = {user_port_cmd_payload_addr[23:10], user_port_cmd_payload_addr[6:0]};
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed34 = 1'd0;
+       rhs_array_muxed34 = 1'd0;
        case (roundrobin7_grant)
                default: begin
-                       comb_rhs_array_muxed34 = user_port_cmd_payload_we;
+                       rhs_array_muxed34 = user_port_cmd_payload_we;
                end
        endcase
 end
 always @(*) begin
-       comb_rhs_array_muxed35 = 1'd0;
+       rhs_array_muxed35 = 1'd0;
        case (roundrobin7_grant)
                default: begin
-                       comb_rhs_array_muxed35 = (((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((locked7 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))))) & user_port_cmd_valid);
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed0 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed0 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed0 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed0 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed0 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed1 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next0)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed1 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed1 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed1 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed1 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed2 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed2 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed2 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed2 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed2 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed3 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next1)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed3 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed3 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed3 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed3 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed4 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed4 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed4 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed4 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed4 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed5 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next2)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed5 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed5 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed5 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed5 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed6 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed6 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed6 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed6 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed6 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed7 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next3)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed7 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed7 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed7 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed7 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed8 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed8 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed8 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed8 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed8 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed9 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next4)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed9 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed9 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed9 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed9 = ddrphy_dfitimingschecker3;
+                       rhs_array_muxed35 = (((user_port_cmd_payload_addr[9:7] == 3'd7) & (~(((((((locked7 | (litedramcore_interface_bank0_lock & (roundrobin0_grant == 1'd0))) | (litedramcore_interface_bank1_lock & (roundrobin1_grant == 1'd0))) | (litedramcore_interface_bank2_lock & (roundrobin2_grant == 1'd0))) | (litedramcore_interface_bank3_lock & (roundrobin3_grant == 1'd0))) | (litedramcore_interface_bank4_lock & (roundrobin4_grant == 1'd0))) | (litedramcore_interface_bank5_lock & (roundrobin5_grant == 1'd0))) | (litedramcore_interface_bank6_lock & (roundrobin6_grant == 1'd0))))) & user_port_cmd_valid);
                end
        endcase
 end
 always @(*) begin
-       sync_basiclowerer_array_muxed10 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
+       array_muxed0 = 3'd0;
+       case (litedramcore_steerer_sel0)
                1'd0: begin
-                       sync_basiclowerer_array_muxed10 = ddrphy_dfitimingschecker0;
+                       array_muxed0 = litedramcore_nop_ba[2:0];
                end
                1'd1: begin
-                       sync_basiclowerer_array_muxed10 = ddrphy_dfitimingschecker1;
+                       array_muxed0 = litedramcore_choose_cmd_cmd_payload_ba[2:0];
                end
                2'd2: begin
-                       sync_basiclowerer_array_muxed10 = ddrphy_dfitimingschecker2;
+                       array_muxed0 = litedramcore_choose_req_cmd_payload_ba[2:0];
                end
                default: begin
-                       sync_basiclowerer_array_muxed10 = ddrphy_dfitimingschecker3;
+                       array_muxed0 = litedramcore_cmd_payload_ba[2:0];
                end
        endcase
 end
 always @(*) begin
-       sync_basiclowerer_array_muxed11 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next5)
+       array_muxed1 = 14'd0;
+       case (litedramcore_steerer_sel0)
                1'd0: begin
-                       sync_basiclowerer_array_muxed11 = ddrphy_dfitimingschecker0;
+                       array_muxed1 = litedramcore_nop_a;
                end
                1'd1: begin
-                       sync_basiclowerer_array_muxed11 = ddrphy_dfitimingschecker1;
+                       array_muxed1 = litedramcore_choose_cmd_cmd_payload_a;
                end
                2'd2: begin
-                       sync_basiclowerer_array_muxed11 = ddrphy_dfitimingschecker2;
+                       array_muxed1 = litedramcore_choose_req_cmd_payload_a;
                end
                default: begin
-                       sync_basiclowerer_array_muxed11 = ddrphy_dfitimingschecker3;
+                       array_muxed1 = litedramcore_cmd_payload_a;
                end
        endcase
 end
 always @(*) begin
-       sync_basiclowerer_array_muxed12 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
+       array_muxed2 = 1'd0;
+       case (litedramcore_steerer_sel0)
                1'd0: begin
-                       sync_basiclowerer_array_muxed12 = ddrphy_dfitimingschecker0;
+                       array_muxed2 = 1'd0;
                end
                1'd1: begin
-                       sync_basiclowerer_array_muxed12 = ddrphy_dfitimingschecker1;
+                       array_muxed2 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas);
                end
                2'd2: begin
-                       sync_basiclowerer_array_muxed12 = ddrphy_dfitimingschecker2;
+                       array_muxed2 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas);
                end
                default: begin
-                       sync_basiclowerer_array_muxed12 = ddrphy_dfitimingschecker3;
+                       array_muxed2 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas);
                end
        endcase
 end
 always @(*) begin
-       sync_basiclowerer_array_muxed13 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next6)
+       array_muxed3 = 1'd0;
+       case (litedramcore_steerer_sel0)
                1'd0: begin
-                       sync_basiclowerer_array_muxed13 = ddrphy_dfitimingschecker0;
+                       array_muxed3 = 1'd0;
                end
                1'd1: begin
-                       sync_basiclowerer_array_muxed13 = ddrphy_dfitimingschecker1;
+                       array_muxed3 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras);
                end
                2'd2: begin
-                       sync_basiclowerer_array_muxed13 = ddrphy_dfitimingschecker2;
+                       array_muxed3 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras);
                end
                default: begin
-                       sync_basiclowerer_array_muxed13 = ddrphy_dfitimingschecker3;
+                       array_muxed3 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras);
                end
        endcase
 end
 always @(*) begin
-       sync_basiclowerer_array_muxed14 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
+       array_muxed4 = 1'd0;
+       case (litedramcore_steerer_sel0)
                1'd0: begin
-                       sync_basiclowerer_array_muxed14 = ddrphy_dfitimingschecker0;
+                       array_muxed4 = 1'd0;
                end
                1'd1: begin
-                       sync_basiclowerer_array_muxed14 = ddrphy_dfitimingschecker1;
+                       array_muxed4 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we);
                end
                2'd2: begin
-                       sync_basiclowerer_array_muxed14 = ddrphy_dfitimingschecker2;
+                       array_muxed4 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we);
                end
                default: begin
-                       sync_basiclowerer_array_muxed14 = ddrphy_dfitimingschecker3;
+                       array_muxed4 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we);
                end
        endcase
 end
 always @(*) begin
-       sync_basiclowerer_array_muxed15 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next7)
+       array_muxed5 = 1'd0;
+       case (litedramcore_steerer_sel0)
                1'd0: begin
-                       sync_basiclowerer_array_muxed15 = ddrphy_dfitimingschecker0;
+                       array_muxed5 = 1'd0;
                end
                1'd1: begin
-                       sync_basiclowerer_array_muxed15 = ddrphy_dfitimingschecker1;
+                       array_muxed5 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read);
                end
                2'd2: begin
-                       sync_basiclowerer_array_muxed15 = ddrphy_dfitimingschecker2;
+                       array_muxed5 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read);
                end
                default: begin
-                       sync_basiclowerer_array_muxed15 = ddrphy_dfitimingschecker3;
+                       array_muxed5 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read);
                end
        endcase
 end
 always @(*) begin
-       sync_basiclowerer_array_muxed16 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
+       array_muxed6 = 1'd0;
+       case (litedramcore_steerer_sel0)
                1'd0: begin
-                       sync_basiclowerer_array_muxed16 = ddrphy_dfitimingschecker0;
+                       array_muxed6 = 1'd0;
                end
                1'd1: begin
-                       sync_basiclowerer_array_muxed16 = ddrphy_dfitimingschecker1;
+                       array_muxed6 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write);
                end
                2'd2: begin
-                       sync_basiclowerer_array_muxed16 = ddrphy_dfitimingschecker2;
+                       array_muxed6 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write);
                end
                default: begin
-                       sync_basiclowerer_array_muxed16 = ddrphy_dfitimingschecker3;
+                       array_muxed6 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write);
                end
        endcase
 end
 always @(*) begin
-       sync_basiclowerer_array_muxed17 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next8)
+       array_muxed7 = 3'd0;
+       case (litedramcore_steerer_sel1)
                1'd0: begin
-                       sync_basiclowerer_array_muxed17 = ddrphy_dfitimingschecker0;
+                       array_muxed7 = litedramcore_nop_ba[2:0];
                end
                1'd1: begin
-                       sync_basiclowerer_array_muxed17 = ddrphy_dfitimingschecker1;
+                       array_muxed7 = litedramcore_choose_cmd_cmd_payload_ba[2:0];
                end
                2'd2: begin
-                       sync_basiclowerer_array_muxed17 = ddrphy_dfitimingschecker2;
+                       array_muxed7 = litedramcore_choose_req_cmd_payload_ba[2:0];
                end
                default: begin
-                       sync_basiclowerer_array_muxed17 = ddrphy_dfitimingschecker3;
+                       array_muxed7 = litedramcore_cmd_payload_ba[2:0];
                end
        endcase
 end
 always @(*) begin
-       sync_basiclowerer_array_muxed18 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
+       array_muxed8 = 14'd0;
+       case (litedramcore_steerer_sel1)
                1'd0: begin
-                       sync_basiclowerer_array_muxed18 = ddrphy_dfitimingschecker0;
+                       array_muxed8 = litedramcore_nop_a;
                end
                1'd1: begin
-                       sync_basiclowerer_array_muxed18 = ddrphy_dfitimingschecker1;
+                       array_muxed8 = litedramcore_choose_cmd_cmd_payload_a;
                end
                2'd2: begin
-                       sync_basiclowerer_array_muxed18 = ddrphy_dfitimingschecker2;
+                       array_muxed8 = litedramcore_choose_req_cmd_payload_a;
                end
                default: begin
-                       sync_basiclowerer_array_muxed18 = ddrphy_dfitimingschecker3;
+                       array_muxed8 = litedramcore_cmd_payload_a;
                end
        endcase
 end
 always @(*) begin
-       sync_basiclowerer_array_muxed19 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next9)
+       array_muxed9 = 1'd0;
+       case (litedramcore_steerer_sel1)
                1'd0: begin
-                       sync_basiclowerer_array_muxed19 = ddrphy_dfitimingschecker0;
+                       array_muxed9 = 1'd0;
                end
                1'd1: begin
-                       sync_basiclowerer_array_muxed19 = ddrphy_dfitimingschecker1;
+                       array_muxed9 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas);
                end
                2'd2: begin
-                       sync_basiclowerer_array_muxed19 = ddrphy_dfitimingschecker2;
+                       array_muxed9 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas);
                end
                default: begin
-                       sync_basiclowerer_array_muxed19 = ddrphy_dfitimingschecker3;
+                       array_muxed9 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas);
                end
        endcase
 end
 always @(*) begin
-       sync_basiclowerer_array_muxed20 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
+       array_muxed10 = 1'd0;
+       case (litedramcore_steerer_sel1)
                1'd0: begin
-                       sync_basiclowerer_array_muxed20 = ddrphy_dfitimingschecker0;
+                       array_muxed10 = 1'd0;
                end
                1'd1: begin
-                       sync_basiclowerer_array_muxed20 = ddrphy_dfitimingschecker1;
+                       array_muxed10 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras);
                end
                2'd2: begin
-                       sync_basiclowerer_array_muxed20 = ddrphy_dfitimingschecker2;
+                       array_muxed10 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras);
                end
                default: begin
-                       sync_basiclowerer_array_muxed20 = ddrphy_dfitimingschecker3;
+                       array_muxed10 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras);
                end
        endcase
 end
 always @(*) begin
-       sync_basiclowerer_array_muxed21 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next10)
+       array_muxed11 = 1'd0;
+       case (litedramcore_steerer_sel1)
                1'd0: begin
-                       sync_basiclowerer_array_muxed21 = ddrphy_dfitimingschecker0;
+                       array_muxed11 = 1'd0;
                end
                1'd1: begin
-                       sync_basiclowerer_array_muxed21 = ddrphy_dfitimingschecker1;
+                       array_muxed11 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we);
                end
                2'd2: begin
-                       sync_basiclowerer_array_muxed21 = ddrphy_dfitimingschecker2;
+                       array_muxed11 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we);
                end
                default: begin
-                       sync_basiclowerer_array_muxed21 = ddrphy_dfitimingschecker3;
+                       array_muxed11 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we);
                end
        endcase
 end
 always @(*) begin
-       sync_basiclowerer_array_muxed22 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
+       array_muxed12 = 1'd0;
+       case (litedramcore_steerer_sel1)
                1'd0: begin
-                       sync_basiclowerer_array_muxed22 = ddrphy_dfitimingschecker0;
+                       array_muxed12 = 1'd0;
                end
                1'd1: begin
-                       sync_basiclowerer_array_muxed22 = ddrphy_dfitimingschecker1;
+                       array_muxed12 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read);
                end
                2'd2: begin
-                       sync_basiclowerer_array_muxed22 = ddrphy_dfitimingschecker2;
+                       array_muxed12 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read);
                end
                default: begin
-                       sync_basiclowerer_array_muxed22 = ddrphy_dfitimingschecker3;
+                       array_muxed12 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read);
                end
        endcase
 end
 always @(*) begin
-       sync_basiclowerer_array_muxed23 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next11)
+       array_muxed13 = 1'd0;
+       case (litedramcore_steerer_sel1)
                1'd0: begin
-                       sync_basiclowerer_array_muxed23 = ddrphy_dfitimingschecker0;
+                       array_muxed13 = 1'd0;
                end
                1'd1: begin
-                       sync_basiclowerer_array_muxed23 = ddrphy_dfitimingschecker1;
+                       array_muxed13 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write);
                end
                2'd2: begin
-                       sync_basiclowerer_array_muxed23 = ddrphy_dfitimingschecker2;
+                       array_muxed13 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write);
                end
                default: begin
-                       sync_basiclowerer_array_muxed23 = ddrphy_dfitimingschecker3;
+                       array_muxed13 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write);
                end
        endcase
 end
 always @(*) begin
-       sync_basiclowerer_array_muxed24 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
+       array_muxed14 = 3'd0;
+       case (litedramcore_steerer_sel2)
                1'd0: begin
-                       sync_basiclowerer_array_muxed24 = ddrphy_dfitimingschecker0;
+                       array_muxed14 = litedramcore_nop_ba[2:0];
                end
                1'd1: begin
-                       sync_basiclowerer_array_muxed24 = ddrphy_dfitimingschecker1;
+                       array_muxed14 = litedramcore_choose_cmd_cmd_payload_ba[2:0];
                end
                2'd2: begin
-                       sync_basiclowerer_array_muxed24 = ddrphy_dfitimingschecker2;
+                       array_muxed14 = litedramcore_choose_req_cmd_payload_ba[2:0];
                end
                default: begin
-                       sync_basiclowerer_array_muxed24 = ddrphy_dfitimingschecker3;
+                       array_muxed14 = litedramcore_cmd_payload_ba[2:0];
                end
        endcase
 end
 always @(*) begin
-       sync_basiclowerer_array_muxed25 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next12)
+       array_muxed15 = 14'd0;
+       case (litedramcore_steerer_sel2)
                1'd0: begin
-                       sync_basiclowerer_array_muxed25 = ddrphy_dfitimingschecker0;
+                       array_muxed15 = litedramcore_nop_a;
                end
                1'd1: begin
-                       sync_basiclowerer_array_muxed25 = ddrphy_dfitimingschecker1;
+                       array_muxed15 = litedramcore_choose_cmd_cmd_payload_a;
                end
                2'd2: begin
-                       sync_basiclowerer_array_muxed25 = ddrphy_dfitimingschecker2;
+                       array_muxed15 = litedramcore_choose_req_cmd_payload_a;
                end
                default: begin
-                       sync_basiclowerer_array_muxed25 = ddrphy_dfitimingschecker3;
+                       array_muxed15 = litedramcore_cmd_payload_a;
                end
        endcase
 end
 always @(*) begin
-       sync_basiclowerer_array_muxed26 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
+       array_muxed16 = 1'd0;
+       case (litedramcore_steerer_sel2)
                1'd0: begin
-                       sync_basiclowerer_array_muxed26 = ddrphy_dfitimingschecker0;
+                       array_muxed16 = 1'd0;
                end
                1'd1: begin
-                       sync_basiclowerer_array_muxed26 = ddrphy_dfitimingschecker1;
+                       array_muxed16 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas);
                end
                2'd2: begin
-                       sync_basiclowerer_array_muxed26 = ddrphy_dfitimingschecker2;
+                       array_muxed16 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas);
                end
                default: begin
-                       sync_basiclowerer_array_muxed26 = ddrphy_dfitimingschecker3;
+                       array_muxed16 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas);
                end
        endcase
 end
 always @(*) begin
-       sync_basiclowerer_array_muxed27 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next13)
+       array_muxed17 = 1'd0;
+       case (litedramcore_steerer_sel2)
                1'd0: begin
-                       sync_basiclowerer_array_muxed27 = ddrphy_dfitimingschecker0;
+                       array_muxed17 = 1'd0;
                end
                1'd1: begin
-                       sync_basiclowerer_array_muxed27 = ddrphy_dfitimingschecker1;
+                       array_muxed17 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras);
                end
                2'd2: begin
-                       sync_basiclowerer_array_muxed27 = ddrphy_dfitimingschecker2;
+                       array_muxed17 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras);
                end
                default: begin
-                       sync_basiclowerer_array_muxed27 = ddrphy_dfitimingschecker3;
+                       array_muxed17 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras);
                end
        endcase
 end
 always @(*) begin
-       sync_basiclowerer_array_muxed28 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed28 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed28 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed28 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed28 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed29 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next14)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed29 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed29 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed29 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed29 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed30 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed30 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed30 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed30 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed30 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed31 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next15)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed31 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed31 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed31 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed31 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed32 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed32 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed32 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed32 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed32 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed33 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next16)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed33 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed33 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed33 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed33 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed34 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed34 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed34 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed34 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed34 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed35 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next17)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed35 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed35 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed35 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed35 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed36 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed36 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed36 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed36 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed36 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed37 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next18)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed37 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed37 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed37 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed37 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed38 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed38 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed38 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed38 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed38 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed39 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next19)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed39 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed39 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed39 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed39 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed40 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed40 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed40 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed40 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed40 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed41 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next20)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed41 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed41 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed41 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed41 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed42 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed42 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed42 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed42 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed42 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed43 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next21)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed43 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed43 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed43 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed43 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed44 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed44 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed44 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed44 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed44 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed45 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next22)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed45 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed45 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed45 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed45 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed46 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed46 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed46 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed46 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed46 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed47 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next23)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed47 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed47 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed47 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed47 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed48 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed48 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed48 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed48 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed48 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed49 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next24)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed49 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed49 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed49 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed49 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed50 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed50 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed50 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed50 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed50 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed51 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next25)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed51 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed51 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed51 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed51 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed52 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed52 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed52 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed52 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed52 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed53 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next26)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed53 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed53 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed53 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed53 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed54 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed54 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed54 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed54 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed54 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed55 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next27)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed55 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed55 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed55 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed55 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed56 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed56 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed56 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed56 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed56 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed57 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next28)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed57 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed57 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed57 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed57 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed58 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed58 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed58 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed58 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed58 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed59 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next29)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed59 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed59 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed59 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed59 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed60 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed60 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed60 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed60 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed60 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed61 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next30)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed61 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed61 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed61 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed61 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed62 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_curr)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed62 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed62 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed62 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed62 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_basiclowerer_array_muxed63 = 64'd0;
-       case (ddrphy_dfitimingschecker_act_next31)
-               1'd0: begin
-                       sync_basiclowerer_array_muxed63 = ddrphy_dfitimingschecker0;
-               end
-               1'd1: begin
-                       sync_basiclowerer_array_muxed63 = ddrphy_dfitimingschecker1;
-               end
-               2'd2: begin
-                       sync_basiclowerer_array_muxed63 = ddrphy_dfitimingschecker2;
-               end
-               default: begin
-                       sync_basiclowerer_array_muxed63 = ddrphy_dfitimingschecker3;
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed0 = 3'd0;
-       case (litedramcore_steerer_sel0)
-               1'd0: begin
-                       sync_rhs_array_muxed0 = litedramcore_nop_ba[2:0];
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed0 = litedramcore_choose_cmd_cmd_payload_ba[2:0];
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed0 = litedramcore_choose_req_cmd_payload_ba[2:0];
-               end
-               default: begin
-                       sync_rhs_array_muxed0 = litedramcore_cmd_payload_ba[2:0];
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed1 = 14'd0;
-       case (litedramcore_steerer_sel0)
-               1'd0: begin
-                       sync_rhs_array_muxed1 = litedramcore_nop_a;
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed1 = litedramcore_choose_cmd_cmd_payload_a;
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed1 = litedramcore_choose_req_cmd_payload_a;
-               end
-               default: begin
-                       sync_rhs_array_muxed1 = litedramcore_cmd_payload_a;
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed2 = 1'd0;
-       case (litedramcore_steerer_sel0)
-               1'd0: begin
-                       sync_rhs_array_muxed2 = 1'd0;
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed2 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas);
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed2 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas);
-               end
-               default: begin
-                       sync_rhs_array_muxed2 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas);
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed3 = 1'd0;
-       case (litedramcore_steerer_sel0)
-               1'd0: begin
-                       sync_rhs_array_muxed3 = 1'd0;
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed3 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras);
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed3 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras);
-               end
-               default: begin
-                       sync_rhs_array_muxed3 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras);
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed4 = 1'd0;
-       case (litedramcore_steerer_sel0)
-               1'd0: begin
-                       sync_rhs_array_muxed4 = 1'd0;
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed4 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we);
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed4 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we);
-               end
-               default: begin
-                       sync_rhs_array_muxed4 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we);
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed5 = 1'd0;
-       case (litedramcore_steerer_sel0)
-               1'd0: begin
-                       sync_rhs_array_muxed5 = 1'd0;
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed5 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read);
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed5 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read);
-               end
-               default: begin
-                       sync_rhs_array_muxed5 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read);
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed6 = 1'd0;
-       case (litedramcore_steerer_sel0)
-               1'd0: begin
-                       sync_rhs_array_muxed6 = 1'd0;
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed6 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write);
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed6 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write);
-               end
-               default: begin
-                       sync_rhs_array_muxed6 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write);
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed7 = 3'd0;
-       case (litedramcore_steerer_sel1)
-               1'd0: begin
-                       sync_rhs_array_muxed7 = litedramcore_nop_ba[2:0];
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed7 = litedramcore_choose_cmd_cmd_payload_ba[2:0];
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed7 = litedramcore_choose_req_cmd_payload_ba[2:0];
-               end
-               default: begin
-                       sync_rhs_array_muxed7 = litedramcore_cmd_payload_ba[2:0];
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed8 = 14'd0;
-       case (litedramcore_steerer_sel1)
-               1'd0: begin
-                       sync_rhs_array_muxed8 = litedramcore_nop_a;
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed8 = litedramcore_choose_cmd_cmd_payload_a;
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed8 = litedramcore_choose_req_cmd_payload_a;
-               end
-               default: begin
-                       sync_rhs_array_muxed8 = litedramcore_cmd_payload_a;
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed9 = 1'd0;
-       case (litedramcore_steerer_sel1)
-               1'd0: begin
-                       sync_rhs_array_muxed9 = 1'd0;
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed9 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas);
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed9 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas);
-               end
-               default: begin
-                       sync_rhs_array_muxed9 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas);
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed10 = 1'd0;
-       case (litedramcore_steerer_sel1)
-               1'd0: begin
-                       sync_rhs_array_muxed10 = 1'd0;
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed10 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras);
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed10 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras);
-               end
-               default: begin
-                       sync_rhs_array_muxed10 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras);
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed11 = 1'd0;
-       case (litedramcore_steerer_sel1)
-               1'd0: begin
-                       sync_rhs_array_muxed11 = 1'd0;
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed11 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we);
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed11 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we);
-               end
-               default: begin
-                       sync_rhs_array_muxed11 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we);
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed12 = 1'd0;
-       case (litedramcore_steerer_sel1)
-               1'd0: begin
-                       sync_rhs_array_muxed12 = 1'd0;
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed12 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read);
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed12 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read);
-               end
-               default: begin
-                       sync_rhs_array_muxed12 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read);
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed13 = 1'd0;
-       case (litedramcore_steerer_sel1)
-               1'd0: begin
-                       sync_rhs_array_muxed13 = 1'd0;
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed13 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write);
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed13 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write);
-               end
-               default: begin
-                       sync_rhs_array_muxed13 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write);
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed14 = 3'd0;
-       case (litedramcore_steerer_sel2)
-               1'd0: begin
-                       sync_rhs_array_muxed14 = litedramcore_nop_ba[2:0];
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed14 = litedramcore_choose_cmd_cmd_payload_ba[2:0];
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed14 = litedramcore_choose_req_cmd_payload_ba[2:0];
-               end
-               default: begin
-                       sync_rhs_array_muxed14 = litedramcore_cmd_payload_ba[2:0];
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed15 = 14'd0;
-       case (litedramcore_steerer_sel2)
-               1'd0: begin
-                       sync_rhs_array_muxed15 = litedramcore_nop_a;
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed15 = litedramcore_choose_cmd_cmd_payload_a;
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed15 = litedramcore_choose_req_cmd_payload_a;
-               end
-               default: begin
-                       sync_rhs_array_muxed15 = litedramcore_cmd_payload_a;
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed16 = 1'd0;
-       case (litedramcore_steerer_sel2)
-               1'd0: begin
-                       sync_rhs_array_muxed16 = 1'd0;
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed16 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas);
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed16 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas);
-               end
-               default: begin
-                       sync_rhs_array_muxed16 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas);
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed17 = 1'd0;
-       case (litedramcore_steerer_sel2)
-               1'd0: begin
-                       sync_rhs_array_muxed17 = 1'd0;
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed17 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras);
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed17 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras);
-               end
-               default: begin
-                       sync_rhs_array_muxed17 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras);
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed18 = 1'd0;
-       case (litedramcore_steerer_sel2)
-               1'd0: begin
-                       sync_rhs_array_muxed18 = 1'd0;
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed18 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we);
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed18 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we);
-               end
-               default: begin
-                       sync_rhs_array_muxed18 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we);
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed19 = 1'd0;
-       case (litedramcore_steerer_sel2)
-               1'd0: begin
-                       sync_rhs_array_muxed19 = 1'd0;
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed19 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read);
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed19 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read);
-               end
-               default: begin
-                       sync_rhs_array_muxed19 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read);
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed20 = 1'd0;
-       case (litedramcore_steerer_sel2)
-               1'd0: begin
-                       sync_rhs_array_muxed20 = 1'd0;
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed20 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write);
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed20 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write);
-               end
-               default: begin
-                       sync_rhs_array_muxed20 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write);
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed21 = 3'd0;
-       case (litedramcore_steerer_sel3)
-               1'd0: begin
-                       sync_rhs_array_muxed21 = litedramcore_nop_ba[2:0];
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed21 = litedramcore_choose_cmd_cmd_payload_ba[2:0];
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed21 = litedramcore_choose_req_cmd_payload_ba[2:0];
-               end
-               default: begin
-                       sync_rhs_array_muxed21 = litedramcore_cmd_payload_ba[2:0];
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed22 = 14'd0;
-       case (litedramcore_steerer_sel3)
-               1'd0: begin
-                       sync_rhs_array_muxed22 = litedramcore_nop_a;
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed22 = litedramcore_choose_cmd_cmd_payload_a;
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed22 = litedramcore_choose_req_cmd_payload_a;
-               end
-               default: begin
-                       sync_rhs_array_muxed22 = litedramcore_cmd_payload_a;
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed23 = 1'd0;
-       case (litedramcore_steerer_sel3)
-               1'd0: begin
-                       sync_rhs_array_muxed23 = 1'd0;
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed23 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas);
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed23 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas);
-               end
-               default: begin
-                       sync_rhs_array_muxed23 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas);
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed24 = 1'd0;
-       case (litedramcore_steerer_sel3)
-               1'd0: begin
-                       sync_rhs_array_muxed24 = 1'd0;
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed24 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras);
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed24 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras);
-               end
-               default: begin
-                       sync_rhs_array_muxed24 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras);
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed25 = 1'd0;
-       case (litedramcore_steerer_sel3)
-               1'd0: begin
-                       sync_rhs_array_muxed25 = 1'd0;
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed25 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we);
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed25 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we);
-               end
-               default: begin
-                       sync_rhs_array_muxed25 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we);
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed26 = 1'd0;
-       case (litedramcore_steerer_sel3)
-               1'd0: begin
-                       sync_rhs_array_muxed26 = 1'd0;
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed26 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read);
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed26 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read);
-               end
-               default: begin
-                       sync_rhs_array_muxed26 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read);
-               end
-       endcase
-end
-always @(*) begin
-       sync_rhs_array_muxed27 = 1'd0;
-       case (litedramcore_steerer_sel3)
-               1'd0: begin
-                       sync_rhs_array_muxed27 = 1'd0;
-               end
-               1'd1: begin
-                       sync_rhs_array_muxed27 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write);
-               end
-               2'd2: begin
-                       sync_rhs_array_muxed27 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write);
-               end
-               default: begin
-                       sync_rhs_array_muxed27 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write);
-               end
-       endcase
-end
-
-always @(posedge por_clk) begin
-       int_rst <= 1'd0;
-end
-
-always @(posedge sys_clk) begin
-       state <= next_state;
-       ddrphy_new_bank_write0 <= ddrphy_bank_write0;
-       ddrphy_new_bank_write_col0 <= ddrphy_bank_write_col0;
-       ddrphy_new_bank_write1 <= ddrphy_new_bank_write0;
-       ddrphy_new_bank_write_col1 <= ddrphy_new_bank_write_col0;
-       ddrphy_new_bank_write2 <= ddrphy_bank_write1;
-       ddrphy_new_bank_write_col2 <= ddrphy_bank_write_col1;
-       ddrphy_new_bank_write3 <= ddrphy_new_bank_write2;
-       ddrphy_new_bank_write_col3 <= ddrphy_new_bank_write_col2;
-       ddrphy_new_bank_write4 <= ddrphy_bank_write2;
-       ddrphy_new_bank_write_col4 <= ddrphy_bank_write_col2;
-       ddrphy_new_bank_write5 <= ddrphy_new_bank_write4;
-       ddrphy_new_bank_write_col5 <= ddrphy_new_bank_write_col4;
-       ddrphy_new_bank_write6 <= ddrphy_bank_write3;
-       ddrphy_new_bank_write_col6 <= ddrphy_bank_write_col3;
-       ddrphy_new_bank_write7 <= ddrphy_new_bank_write6;
-       ddrphy_new_bank_write_col7 <= ddrphy_new_bank_write_col6;
-       ddrphy_new_bank_write8 <= ddrphy_bank_write4;
-       ddrphy_new_bank_write_col8 <= ddrphy_bank_write_col4;
-       ddrphy_new_bank_write9 <= ddrphy_new_bank_write8;
-       ddrphy_new_bank_write_col9 <= ddrphy_new_bank_write_col8;
-       ddrphy_new_bank_write10 <= ddrphy_bank_write5;
-       ddrphy_new_bank_write_col10 <= ddrphy_bank_write_col5;
-       ddrphy_new_bank_write11 <= ddrphy_new_bank_write10;
-       ddrphy_new_bank_write_col11 <= ddrphy_new_bank_write_col10;
-       ddrphy_new_bank_write12 <= ddrphy_bank_write6;
-       ddrphy_new_bank_write_col12 <= ddrphy_bank_write_col6;
-       ddrphy_new_bank_write13 <= ddrphy_new_bank_write12;
-       ddrphy_new_bank_write_col13 <= ddrphy_new_bank_write_col12;
-       ddrphy_new_bank_write14 <= ddrphy_bank_write7;
-       ddrphy_new_bank_write_col14 <= ddrphy_bank_write_col7;
-       ddrphy_new_bank_write15 <= ddrphy_new_bank_write14;
-       ddrphy_new_bank_write_col15 <= ddrphy_new_bank_write_col14;
-       ddrphy_new_banks_read0 <= ddrphy_banks_read;
-       ddrphy_new_banks_read_data0 <= ddrphy_banks_read_data;
-       ddrphy_new_banks_read1 <= ddrphy_new_banks_read0;
-       ddrphy_new_banks_read_data1 <= ddrphy_new_banks_read_data0;
-       ddrphy_new_banks_read2 <= ddrphy_new_banks_read1;
-       ddrphy_new_banks_read_data2 <= ddrphy_new_banks_read_data1;
-       ddrphy_new_banks_read3 <= ddrphy_new_banks_read2;
-       ddrphy_new_banks_read_data3 <= ddrphy_new_banks_read_data2;
-       ddrphy_new_banks_read4 <= ddrphy_new_banks_read3;
-       ddrphy_new_banks_read_data4 <= ddrphy_new_banks_read_data3;
-       ddrphy_new_banks_read5 <= ddrphy_new_banks_read4;
-       ddrphy_new_banks_read_data5 <= ddrphy_new_banks_read_data4;
-       ddrphy_new_banks_read6 <= ddrphy_new_banks_read5;
-       ddrphy_new_banks_read_data6 <= ddrphy_new_banks_read_data5;
-       ddrphy_new_banks_read7 <= ddrphy_new_banks_read6;
-       ddrphy_new_banks_read_data7 <= ddrphy_new_banks_read_data6;
-       ddrphy_new_banks_read8 <= ddrphy_new_banks_read7;
-       ddrphy_new_banks_read_data8 <= ddrphy_new_banks_read_data7;
-       ddrphy_dfitimingschecker_cnt <= (ddrphy_dfitimingschecker_cnt + 3'd4);
-       if (((ddrphy_dfitimingschecker_cmd_recv0 & (ddrphy_dfitimingschecker_last_cmd0 == 1'd1)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker1 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps0, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv0 & (ddrphy_dfitimingschecker_last_cmd0 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker2 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps0, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv0 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker4 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps0, 0);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv0) begin
-               ddrphy_dfitimingschecker_dfitimingschecker0 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd0 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv1 & (ddrphy_dfitimingschecker_last_cmd0 == 2'd2)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker0 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps0, 0);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv1) begin
-               ddrphy_dfitimingschecker_dfitimingschecker1 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd0 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv2 & (ddrphy_dfitimingschecker_last_cmd0 == 2'd2)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker0 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv2 & (ddrphy_dfitimingschecker_last_cmd0 == 1'd1)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker1 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv2 & (ddrphy_dfitimingschecker_last_cmd0 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker2 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv2 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd6)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker5 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 0);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv2) begin
-               ddrphy_dfitimingschecker_dfitimingschecker2 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd0 <= ddrphy_dfitimingschecker_state0;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv2 & (ddrphy_dfitimingschecker_ps0 < (sync_basiclowerer_array_muxed0 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 0);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv2 & (ddrphy_dfitimingschecker_ps0 < (sync_basiclowerer_array_muxed1 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps0, 0);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv2) begin
-               sync_t_array_muxed0 = ddrphy_dfitimingschecker_ps0;
-               case (ddrphy_dfitimingschecker_act_next0)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed0;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed0;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed0;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed0;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv3 & (ddrphy_dfitimingschecker_last_cmd0 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker2 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv3 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd5)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker3 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv3 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker4 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv3 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker4 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 0);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv3) begin
-               ddrphy_dfitimingschecker_dfitimingschecker3 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd0 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv4 & (ddrphy_dfitimingschecker_last_cmd0 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker2 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps0, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv4 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd5)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker3 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps0, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv4 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker4 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps0, 0);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv4) begin
-               ddrphy_dfitimingschecker_dfitimingschecker4 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd0 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv5) begin
-               ddrphy_dfitimingschecker_dfitimingschecker5 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd0 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv6 & (ddrphy_dfitimingschecker_last_cmd1 == 1'd1)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker7 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps0, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv6 & (ddrphy_dfitimingschecker_last_cmd1 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker8 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps0, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv6 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker10 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps0, 1);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv6) begin
-               ddrphy_dfitimingschecker_dfitimingschecker6 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd1 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv7 & (ddrphy_dfitimingschecker_last_cmd1 == 2'd2)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker6 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps0, 1);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv7) begin
-               ddrphy_dfitimingschecker_dfitimingschecker7 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd1 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv8 & (ddrphy_dfitimingschecker_last_cmd1 == 2'd2)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker6 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv8 & (ddrphy_dfitimingschecker_last_cmd1 == 1'd1)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker7 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv8 & (ddrphy_dfitimingschecker_last_cmd1 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker8 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv8 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd6)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker11 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 1);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv8) begin
-               ddrphy_dfitimingschecker_dfitimingschecker8 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd1 <= ddrphy_dfitimingschecker_state0;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv8 & (ddrphy_dfitimingschecker_ps0 < (sync_basiclowerer_array_muxed2 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 1);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv8 & (ddrphy_dfitimingschecker_ps0 < (sync_basiclowerer_array_muxed3 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps0, 1);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv8) begin
-               sync_t_array_muxed1 = ddrphy_dfitimingschecker_ps0;
-               case (ddrphy_dfitimingschecker_act_next1)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed1;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed1;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed1;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed1;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv9 & (ddrphy_dfitimingschecker_last_cmd1 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker8 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv9 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd5)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker9 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv9 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker10 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv9 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker10 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 1);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv9) begin
-               ddrphy_dfitimingschecker_dfitimingschecker9 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd1 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv10 & (ddrphy_dfitimingschecker_last_cmd1 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker8 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps0, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv10 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd5)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker9 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps0, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv10 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker10 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps0, 1);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv10) begin
-               ddrphy_dfitimingschecker_dfitimingschecker10 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd1 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv11) begin
-               ddrphy_dfitimingschecker_dfitimingschecker11 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd1 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv12 & (ddrphy_dfitimingschecker_last_cmd2 == 1'd1)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker13 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps0, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv12 & (ddrphy_dfitimingschecker_last_cmd2 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker14 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps0, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv12 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker16 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps0, 2);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv12) begin
-               ddrphy_dfitimingschecker_dfitimingschecker12 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd2 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv13 & (ddrphy_dfitimingschecker_last_cmd2 == 2'd2)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker12 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps0, 2);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv13) begin
-               ddrphy_dfitimingschecker_dfitimingschecker13 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd2 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv14 & (ddrphy_dfitimingschecker_last_cmd2 == 2'd2)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker12 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv14 & (ddrphy_dfitimingschecker_last_cmd2 == 1'd1)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker13 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv14 & (ddrphy_dfitimingschecker_last_cmd2 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker14 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv14 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd6)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker17 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 2);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv14) begin
-               ddrphy_dfitimingschecker_dfitimingschecker14 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd2 <= ddrphy_dfitimingschecker_state0;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv14 & (ddrphy_dfitimingschecker_ps0 < (sync_basiclowerer_array_muxed4 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 2);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv14 & (ddrphy_dfitimingschecker_ps0 < (sync_basiclowerer_array_muxed5 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps0, 2);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv14) begin
-               sync_t_array_muxed2 = ddrphy_dfitimingschecker_ps0;
-               case (ddrphy_dfitimingschecker_act_next2)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed2;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed2;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed2;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed2;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv15 & (ddrphy_dfitimingschecker_last_cmd2 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker14 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv15 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd5)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker15 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv15 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker16 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv15 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker16 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 2);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv15) begin
-               ddrphy_dfitimingschecker_dfitimingschecker15 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd2 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv16 & (ddrphy_dfitimingschecker_last_cmd2 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker14 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps0, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv16 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd5)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker15 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps0, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv16 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker16 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps0, 2);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv16) begin
-               ddrphy_dfitimingschecker_dfitimingschecker16 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd2 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv17) begin
-               ddrphy_dfitimingschecker_dfitimingschecker17 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd2 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv18 & (ddrphy_dfitimingschecker_last_cmd3 == 1'd1)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker19 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps0, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv18 & (ddrphy_dfitimingschecker_last_cmd3 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker20 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps0, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv18 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker22 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps0, 3);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv18) begin
-               ddrphy_dfitimingschecker_dfitimingschecker18 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd3 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv19 & (ddrphy_dfitimingschecker_last_cmd3 == 2'd2)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker18 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps0, 3);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv19) begin
-               ddrphy_dfitimingschecker_dfitimingschecker19 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd3 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv20 & (ddrphy_dfitimingschecker_last_cmd3 == 2'd2)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker18 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv20 & (ddrphy_dfitimingschecker_last_cmd3 == 1'd1)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker19 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv20 & (ddrphy_dfitimingschecker_last_cmd3 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker20 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv20 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd6)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker23 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 3);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv20) begin
-               ddrphy_dfitimingschecker_dfitimingschecker20 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd3 <= ddrphy_dfitimingschecker_state0;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv20 & (ddrphy_dfitimingschecker_ps0 < (sync_basiclowerer_array_muxed6 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 3);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv20 & (ddrphy_dfitimingschecker_ps0 < (sync_basiclowerer_array_muxed7 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps0, 3);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv20) begin
-               sync_t_array_muxed3 = ddrphy_dfitimingschecker_ps0;
-               case (ddrphy_dfitimingschecker_act_next3)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed3;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed3;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed3;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed3;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv21 & (ddrphy_dfitimingschecker_last_cmd3 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker20 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv21 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd5)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker21 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv21 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker22 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv21 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker22 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 3);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv21) begin
-               ddrphy_dfitimingschecker_dfitimingschecker21 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd3 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv22 & (ddrphy_dfitimingschecker_last_cmd3 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker20 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps0, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv22 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd5)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker21 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps0, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv22 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker22 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps0, 3);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv22) begin
-               ddrphy_dfitimingschecker_dfitimingschecker22 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd3 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv23) begin
-               ddrphy_dfitimingschecker_dfitimingschecker23 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd3 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv24 & (ddrphy_dfitimingschecker_last_cmd4 == 1'd1)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker25 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps0, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv24 & (ddrphy_dfitimingschecker_last_cmd4 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker26 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps0, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv24 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker28 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps0, 4);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv24) begin
-               ddrphy_dfitimingschecker_dfitimingschecker24 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd4 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv25 & (ddrphy_dfitimingschecker_last_cmd4 == 2'd2)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker24 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps0, 4);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv25) begin
-               ddrphy_dfitimingschecker_dfitimingschecker25 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd4 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv26 & (ddrphy_dfitimingschecker_last_cmd4 == 2'd2)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker24 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv26 & (ddrphy_dfitimingschecker_last_cmd4 == 1'd1)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker25 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv26 & (ddrphy_dfitimingschecker_last_cmd4 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker26 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv26 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd6)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker29 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 4);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv26) begin
-               ddrphy_dfitimingschecker_dfitimingschecker26 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd4 <= ddrphy_dfitimingschecker_state0;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv26 & (ddrphy_dfitimingschecker_ps0 < (sync_basiclowerer_array_muxed8 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 4);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv26 & (ddrphy_dfitimingschecker_ps0 < (sync_basiclowerer_array_muxed9 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps0, 4);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv26) begin
-               sync_t_array_muxed4 = ddrphy_dfitimingschecker_ps0;
-               case (ddrphy_dfitimingschecker_act_next4)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed4;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed4;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed4;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed4;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next4;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv27 & (ddrphy_dfitimingschecker_last_cmd4 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker26 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv27 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd5)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker27 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv27 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker28 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv27 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker28 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 4);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv27) begin
-               ddrphy_dfitimingschecker_dfitimingschecker27 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd4 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv28 & (ddrphy_dfitimingschecker_last_cmd4 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker26 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps0, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv28 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd5)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker27 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps0, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv28 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker28 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps0, 4);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv28) begin
-               ddrphy_dfitimingschecker_dfitimingschecker28 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd4 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv29) begin
-               ddrphy_dfitimingschecker_dfitimingschecker29 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd4 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv30 & (ddrphy_dfitimingschecker_last_cmd5 == 1'd1)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker31 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps0, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv30 & (ddrphy_dfitimingschecker_last_cmd5 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker32 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps0, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv30 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker34 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps0, 5);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv30) begin
-               ddrphy_dfitimingschecker_dfitimingschecker30 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd5 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv31 & (ddrphy_dfitimingschecker_last_cmd5 == 2'd2)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker30 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps0, 5);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv31) begin
-               ddrphy_dfitimingschecker_dfitimingschecker31 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd5 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv32 & (ddrphy_dfitimingschecker_last_cmd5 == 2'd2)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker30 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv32 & (ddrphy_dfitimingschecker_last_cmd5 == 1'd1)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker31 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv32 & (ddrphy_dfitimingschecker_last_cmd5 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker32 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv32 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd6)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker35 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 5);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv32) begin
-               ddrphy_dfitimingschecker_dfitimingschecker32 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd5 <= ddrphy_dfitimingschecker_state0;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv32 & (ddrphy_dfitimingschecker_ps0 < (sync_basiclowerer_array_muxed10 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 5);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv32 & (ddrphy_dfitimingschecker_ps0 < (sync_basiclowerer_array_muxed11 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps0, 5);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv32) begin
-               sync_t_array_muxed5 = ddrphy_dfitimingschecker_ps0;
-               case (ddrphy_dfitimingschecker_act_next5)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed5;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed5;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed5;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed5;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next5;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv33 & (ddrphy_dfitimingschecker_last_cmd5 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker32 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv33 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd5)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker33 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv33 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker34 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv33 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker34 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 5);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv33) begin
-               ddrphy_dfitimingschecker_dfitimingschecker33 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd5 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv34 & (ddrphy_dfitimingschecker_last_cmd5 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker32 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps0, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv34 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd5)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker33 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps0, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv34 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker34 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps0, 5);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv34) begin
-               ddrphy_dfitimingschecker_dfitimingschecker34 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd5 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv35) begin
-               ddrphy_dfitimingschecker_dfitimingschecker35 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd5 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv36 & (ddrphy_dfitimingschecker_last_cmd6 == 1'd1)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker37 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps0, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv36 & (ddrphy_dfitimingschecker_last_cmd6 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker38 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps0, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv36 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker40 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps0, 6);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv36) begin
-               ddrphy_dfitimingschecker_dfitimingschecker36 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd6 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv37 & (ddrphy_dfitimingschecker_last_cmd6 == 2'd2)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker36 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps0, 6);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv37) begin
-               ddrphy_dfitimingschecker_dfitimingschecker37 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd6 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv38 & (ddrphy_dfitimingschecker_last_cmd6 == 2'd2)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker36 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv38 & (ddrphy_dfitimingschecker_last_cmd6 == 1'd1)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker37 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv38 & (ddrphy_dfitimingschecker_last_cmd6 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker38 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv38 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd6)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker41 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 6);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv38) begin
-               ddrphy_dfitimingschecker_dfitimingschecker38 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd6 <= ddrphy_dfitimingschecker_state0;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv38 & (ddrphy_dfitimingschecker_ps0 < (sync_basiclowerer_array_muxed12 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 6);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv38 & (ddrphy_dfitimingschecker_ps0 < (sync_basiclowerer_array_muxed13 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps0, 6);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv38) begin
-               sync_t_array_muxed6 = ddrphy_dfitimingschecker_ps0;
-               case (ddrphy_dfitimingschecker_act_next6)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed6;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed6;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed6;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed6;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next6;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv39 & (ddrphy_dfitimingschecker_last_cmd6 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker38 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv39 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd5)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker39 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv39 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker40 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv39 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker40 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 6);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv39) begin
-               ddrphy_dfitimingschecker_dfitimingschecker39 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd6 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv40 & (ddrphy_dfitimingschecker_last_cmd6 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker38 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps0, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv40 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd5)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker39 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps0, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv40 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker40 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps0, 6);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv40) begin
-               ddrphy_dfitimingschecker_dfitimingschecker40 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd6 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv41) begin
-               ddrphy_dfitimingschecker_dfitimingschecker41 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd6 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv42 & (ddrphy_dfitimingschecker_last_cmd7 == 1'd1)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker43 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps0, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv42 & (ddrphy_dfitimingschecker_last_cmd7 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker44 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps0, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv42 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker46 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps0, 7);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv42) begin
-               ddrphy_dfitimingschecker_dfitimingschecker42 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd7 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv43 & (ddrphy_dfitimingschecker_last_cmd7 == 2'd2)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker42 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps0, 7);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv43) begin
-               ddrphy_dfitimingschecker_dfitimingschecker43 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd7 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv44 & (ddrphy_dfitimingschecker_last_cmd7 == 2'd2)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker42 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv44 & (ddrphy_dfitimingschecker_last_cmd7 == 1'd1)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker43 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv44 & (ddrphy_dfitimingschecker_last_cmd7 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker44 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv44 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd6)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker47 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps0, 7);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv44) begin
-               ddrphy_dfitimingschecker_dfitimingschecker44 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd7 <= ddrphy_dfitimingschecker_state0;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv44 & (ddrphy_dfitimingschecker_ps0 < (sync_basiclowerer_array_muxed14 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 7);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv44 & (ddrphy_dfitimingschecker_ps0 < (sync_basiclowerer_array_muxed15 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps0, 7);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv44) begin
-               sync_t_array_muxed7 = ddrphy_dfitimingschecker_ps0;
-               case (ddrphy_dfitimingschecker_act_next7)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed7;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed7;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed7;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed7;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next7;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv45 & (ddrphy_dfitimingschecker_last_cmd7 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker44 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv45 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd5)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker45 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv45 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker46 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv45 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker46 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps0, 7);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv45) begin
-               ddrphy_dfitimingschecker_dfitimingschecker45 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd7 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv46 & (ddrphy_dfitimingschecker_last_cmd7 == 2'd3)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker44 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps0, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv46 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd5)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker45 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps0, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv46 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd4)) & (ddrphy_dfitimingschecker_ps0 < (ddrphy_dfitimingschecker_dfitimingschecker46 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps0, 7);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv46) begin
-               ddrphy_dfitimingschecker_dfitimingschecker46 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd7 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv47) begin
-               ddrphy_dfitimingschecker_dfitimingschecker47 <= ddrphy_dfitimingschecker_ps0;
-               ddrphy_dfitimingschecker_last_cmd7 <= ddrphy_dfitimingschecker_state0;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv48 & (ddrphy_dfitimingschecker_last_cmd0 == 1'd1)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker1 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps1, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv48 & (ddrphy_dfitimingschecker_last_cmd0 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker2 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps1, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv48 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker4 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps1, 0);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv48) begin
-               ddrphy_dfitimingschecker_dfitimingschecker0 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd0 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv49 & (ddrphy_dfitimingschecker_last_cmd0 == 2'd2)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker0 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps1, 0);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv49) begin
-               ddrphy_dfitimingschecker_dfitimingschecker1 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd0 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv50 & (ddrphy_dfitimingschecker_last_cmd0 == 2'd2)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker0 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv50 & (ddrphy_dfitimingschecker_last_cmd0 == 1'd1)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker1 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv50 & (ddrphy_dfitimingschecker_last_cmd0 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker2 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv50 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd6)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker5 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 0);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv50) begin
-               ddrphy_dfitimingschecker_dfitimingschecker2 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd0 <= ddrphy_dfitimingschecker_state1;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv50 & (ddrphy_dfitimingschecker_ps1 < (sync_basiclowerer_array_muxed16 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 0);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv50 & (ddrphy_dfitimingschecker_ps1 < (sync_basiclowerer_array_muxed17 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps1, 0);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv50) begin
-               sync_t_array_muxed8 = ddrphy_dfitimingschecker_ps1;
-               case (ddrphy_dfitimingschecker_act_next8)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed8;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed8;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed8;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed8;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next8;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv51 & (ddrphy_dfitimingschecker_last_cmd0 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker2 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv51 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd5)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker3 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv51 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker4 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv51 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker4 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 0);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv51) begin
-               ddrphy_dfitimingschecker_dfitimingschecker3 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd0 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv52 & (ddrphy_dfitimingschecker_last_cmd0 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker2 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps1, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv52 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd5)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker3 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps1, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv52 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker4 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps1, 0);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv52) begin
-               ddrphy_dfitimingschecker_dfitimingschecker4 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd0 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv53) begin
-               ddrphy_dfitimingschecker_dfitimingschecker5 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd0 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv54 & (ddrphy_dfitimingschecker_last_cmd1 == 1'd1)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker7 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps1, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv54 & (ddrphy_dfitimingschecker_last_cmd1 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker8 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps1, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv54 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker10 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps1, 1);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv54) begin
-               ddrphy_dfitimingschecker_dfitimingschecker6 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd1 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv55 & (ddrphy_dfitimingschecker_last_cmd1 == 2'd2)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker6 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps1, 1);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv55) begin
-               ddrphy_dfitimingschecker_dfitimingschecker7 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd1 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv56 & (ddrphy_dfitimingschecker_last_cmd1 == 2'd2)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker6 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv56 & (ddrphy_dfitimingschecker_last_cmd1 == 1'd1)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker7 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv56 & (ddrphy_dfitimingschecker_last_cmd1 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker8 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv56 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd6)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker11 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 1);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv56) begin
-               ddrphy_dfitimingschecker_dfitimingschecker8 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd1 <= ddrphy_dfitimingschecker_state1;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv56 & (ddrphy_dfitimingschecker_ps1 < (sync_basiclowerer_array_muxed18 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 1);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv56 & (ddrphy_dfitimingschecker_ps1 < (sync_basiclowerer_array_muxed19 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps1, 1);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv56) begin
-               sync_t_array_muxed9 = ddrphy_dfitimingschecker_ps1;
-               case (ddrphy_dfitimingschecker_act_next9)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed9;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed9;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed9;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed9;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next9;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv57 & (ddrphy_dfitimingschecker_last_cmd1 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker8 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv57 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd5)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker9 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv57 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker10 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv57 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker10 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 1);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv57) begin
-               ddrphy_dfitimingschecker_dfitimingschecker9 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd1 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv58 & (ddrphy_dfitimingschecker_last_cmd1 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker8 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps1, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv58 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd5)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker9 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps1, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv58 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker10 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps1, 1);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv58) begin
-               ddrphy_dfitimingschecker_dfitimingschecker10 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd1 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv59) begin
-               ddrphy_dfitimingschecker_dfitimingschecker11 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd1 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv60 & (ddrphy_dfitimingschecker_last_cmd2 == 1'd1)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker13 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps1, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv60 & (ddrphy_dfitimingschecker_last_cmd2 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker14 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps1, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv60 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker16 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps1, 2);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv60) begin
-               ddrphy_dfitimingschecker_dfitimingschecker12 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd2 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv61 & (ddrphy_dfitimingschecker_last_cmd2 == 2'd2)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker12 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps1, 2);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv61) begin
-               ddrphy_dfitimingschecker_dfitimingschecker13 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd2 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv62 & (ddrphy_dfitimingschecker_last_cmd2 == 2'd2)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker12 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv62 & (ddrphy_dfitimingschecker_last_cmd2 == 1'd1)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker13 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv62 & (ddrphy_dfitimingschecker_last_cmd2 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker14 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv62 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd6)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker17 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 2);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv62) begin
-               ddrphy_dfitimingschecker_dfitimingschecker14 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd2 <= ddrphy_dfitimingschecker_state1;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv62 & (ddrphy_dfitimingschecker_ps1 < (sync_basiclowerer_array_muxed20 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 2);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv62 & (ddrphy_dfitimingschecker_ps1 < (sync_basiclowerer_array_muxed21 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps1, 2);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv62) begin
-               sync_t_array_muxed10 = ddrphy_dfitimingschecker_ps1;
-               case (ddrphy_dfitimingschecker_act_next10)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed10;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed10;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed10;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed10;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next10;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv63 & (ddrphy_dfitimingschecker_last_cmd2 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker14 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv63 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd5)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker15 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv63 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker16 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv63 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker16 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 2);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv63) begin
-               ddrphy_dfitimingschecker_dfitimingschecker15 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd2 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv64 & (ddrphy_dfitimingschecker_last_cmd2 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker14 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps1, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv64 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd5)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker15 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps1, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv64 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker16 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps1, 2);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv64) begin
-               ddrphy_dfitimingschecker_dfitimingschecker16 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd2 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv65) begin
-               ddrphy_dfitimingschecker_dfitimingschecker17 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd2 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv66 & (ddrphy_dfitimingschecker_last_cmd3 == 1'd1)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker19 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps1, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv66 & (ddrphy_dfitimingschecker_last_cmd3 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker20 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps1, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv66 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker22 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps1, 3);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv66) begin
-               ddrphy_dfitimingschecker_dfitimingschecker18 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd3 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv67 & (ddrphy_dfitimingschecker_last_cmd3 == 2'd2)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker18 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps1, 3);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv67) begin
-               ddrphy_dfitimingschecker_dfitimingschecker19 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd3 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv68 & (ddrphy_dfitimingschecker_last_cmd3 == 2'd2)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker18 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv68 & (ddrphy_dfitimingschecker_last_cmd3 == 1'd1)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker19 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv68 & (ddrphy_dfitimingschecker_last_cmd3 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker20 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv68 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd6)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker23 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 3);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv68) begin
-               ddrphy_dfitimingschecker_dfitimingschecker20 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd3 <= ddrphy_dfitimingschecker_state1;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv68 & (ddrphy_dfitimingschecker_ps1 < (sync_basiclowerer_array_muxed22 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 3);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv68 & (ddrphy_dfitimingschecker_ps1 < (sync_basiclowerer_array_muxed23 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps1, 3);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv68) begin
-               sync_t_array_muxed11 = ddrphy_dfitimingschecker_ps1;
-               case (ddrphy_dfitimingschecker_act_next11)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed11;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed11;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed11;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed11;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next11;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv69 & (ddrphy_dfitimingschecker_last_cmd3 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker20 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv69 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd5)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker21 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv69 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker22 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv69 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker22 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 3);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv69) begin
-               ddrphy_dfitimingschecker_dfitimingschecker21 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd3 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv70 & (ddrphy_dfitimingschecker_last_cmd3 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker20 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps1, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv70 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd5)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker21 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps1, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv70 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker22 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps1, 3);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv70) begin
-               ddrphy_dfitimingschecker_dfitimingschecker22 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd3 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv71) begin
-               ddrphy_dfitimingschecker_dfitimingschecker23 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd3 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv72 & (ddrphy_dfitimingschecker_last_cmd4 == 1'd1)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker25 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps1, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv72 & (ddrphy_dfitimingschecker_last_cmd4 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker26 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps1, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv72 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker28 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps1, 4);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv72) begin
-               ddrphy_dfitimingschecker_dfitimingschecker24 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd4 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv73 & (ddrphy_dfitimingschecker_last_cmd4 == 2'd2)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker24 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps1, 4);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv73) begin
-               ddrphy_dfitimingschecker_dfitimingschecker25 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd4 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv74 & (ddrphy_dfitimingschecker_last_cmd4 == 2'd2)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker24 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv74 & (ddrphy_dfitimingschecker_last_cmd4 == 1'd1)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker25 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv74 & (ddrphy_dfitimingschecker_last_cmd4 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker26 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv74 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd6)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker29 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 4);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv74) begin
-               ddrphy_dfitimingschecker_dfitimingschecker26 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd4 <= ddrphy_dfitimingschecker_state1;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv74 & (ddrphy_dfitimingschecker_ps1 < (sync_basiclowerer_array_muxed24 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 4);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv74 & (ddrphy_dfitimingschecker_ps1 < (sync_basiclowerer_array_muxed25 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps1, 4);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv74) begin
-               sync_t_array_muxed12 = ddrphy_dfitimingschecker_ps1;
-               case (ddrphy_dfitimingschecker_act_next12)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed12;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed12;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed12;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed12;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next12;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv75 & (ddrphy_dfitimingschecker_last_cmd4 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker26 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv75 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd5)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker27 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv75 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker28 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv75 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker28 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 4);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv75) begin
-               ddrphy_dfitimingschecker_dfitimingschecker27 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd4 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv76 & (ddrphy_dfitimingschecker_last_cmd4 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker26 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps1, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv76 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd5)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker27 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps1, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv76 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker28 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps1, 4);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv76) begin
-               ddrphy_dfitimingschecker_dfitimingschecker28 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd4 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv77) begin
-               ddrphy_dfitimingschecker_dfitimingschecker29 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd4 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv78 & (ddrphy_dfitimingschecker_last_cmd5 == 1'd1)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker31 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps1, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv78 & (ddrphy_dfitimingschecker_last_cmd5 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker32 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps1, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv78 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker34 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps1, 5);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv78) begin
-               ddrphy_dfitimingschecker_dfitimingschecker30 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd5 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv79 & (ddrphy_dfitimingschecker_last_cmd5 == 2'd2)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker30 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps1, 5);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv79) begin
-               ddrphy_dfitimingschecker_dfitimingschecker31 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd5 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv80 & (ddrphy_dfitimingschecker_last_cmd5 == 2'd2)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker30 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv80 & (ddrphy_dfitimingschecker_last_cmd5 == 1'd1)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker31 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv80 & (ddrphy_dfitimingschecker_last_cmd5 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker32 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv80 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd6)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker35 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 5);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv80) begin
-               ddrphy_dfitimingschecker_dfitimingschecker32 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd5 <= ddrphy_dfitimingschecker_state1;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv80 & (ddrphy_dfitimingschecker_ps1 < (sync_basiclowerer_array_muxed26 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 5);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv80 & (ddrphy_dfitimingschecker_ps1 < (sync_basiclowerer_array_muxed27 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps1, 5);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv80) begin
-               sync_t_array_muxed13 = ddrphy_dfitimingschecker_ps1;
-               case (ddrphy_dfitimingschecker_act_next13)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed13;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed13;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed13;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed13;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next13;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv81 & (ddrphy_dfitimingschecker_last_cmd5 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker32 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv81 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd5)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker33 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv81 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker34 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv81 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker34 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 5);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv81) begin
-               ddrphy_dfitimingschecker_dfitimingschecker33 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd5 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv82 & (ddrphy_dfitimingschecker_last_cmd5 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker32 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps1, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv82 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd5)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker33 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps1, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv82 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker34 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps1, 5);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv82) begin
-               ddrphy_dfitimingschecker_dfitimingschecker34 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd5 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv83) begin
-               ddrphy_dfitimingschecker_dfitimingschecker35 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd5 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv84 & (ddrphy_dfitimingschecker_last_cmd6 == 1'd1)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker37 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps1, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv84 & (ddrphy_dfitimingschecker_last_cmd6 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker38 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps1, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv84 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker40 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps1, 6);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv84) begin
-               ddrphy_dfitimingschecker_dfitimingschecker36 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd6 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv85 & (ddrphy_dfitimingschecker_last_cmd6 == 2'd2)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker36 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps1, 6);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv85) begin
-               ddrphy_dfitimingschecker_dfitimingschecker37 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd6 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv86 & (ddrphy_dfitimingschecker_last_cmd6 == 2'd2)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker36 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv86 & (ddrphy_dfitimingschecker_last_cmd6 == 1'd1)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker37 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv86 & (ddrphy_dfitimingschecker_last_cmd6 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker38 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv86 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd6)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker41 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 6);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv86) begin
-               ddrphy_dfitimingschecker_dfitimingschecker38 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd6 <= ddrphy_dfitimingschecker_state1;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv86 & (ddrphy_dfitimingschecker_ps1 < (sync_basiclowerer_array_muxed28 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 6);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv86 & (ddrphy_dfitimingschecker_ps1 < (sync_basiclowerer_array_muxed29 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps1, 6);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv86) begin
-               sync_t_array_muxed14 = ddrphy_dfitimingschecker_ps1;
-               case (ddrphy_dfitimingschecker_act_next14)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed14;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed14;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed14;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed14;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next14;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv87 & (ddrphy_dfitimingschecker_last_cmd6 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker38 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv87 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd5)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker39 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv87 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker40 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv87 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker40 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 6);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv87) begin
-               ddrphy_dfitimingschecker_dfitimingschecker39 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd6 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv88 & (ddrphy_dfitimingschecker_last_cmd6 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker38 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps1, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv88 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd5)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker39 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps1, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv88 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker40 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps1, 6);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv88) begin
-               ddrphy_dfitimingschecker_dfitimingschecker40 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd6 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv89) begin
-               ddrphy_dfitimingschecker_dfitimingschecker41 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd6 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv90 & (ddrphy_dfitimingschecker_last_cmd7 == 1'd1)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker43 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps1, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv90 & (ddrphy_dfitimingschecker_last_cmd7 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker44 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps1, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv90 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker46 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps1, 7);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv90) begin
-               ddrphy_dfitimingschecker_dfitimingschecker42 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd7 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv91 & (ddrphy_dfitimingschecker_last_cmd7 == 2'd2)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker42 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps1, 7);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv91) begin
-               ddrphy_dfitimingschecker_dfitimingschecker43 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd7 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv92 & (ddrphy_dfitimingschecker_last_cmd7 == 2'd2)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker42 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv92 & (ddrphy_dfitimingschecker_last_cmd7 == 1'd1)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker43 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv92 & (ddrphy_dfitimingschecker_last_cmd7 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker44 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv92 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd6)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker47 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps1, 7);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv92) begin
-               ddrphy_dfitimingschecker_dfitimingschecker44 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd7 <= ddrphy_dfitimingschecker_state1;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv92 & (ddrphy_dfitimingschecker_ps1 < (sync_basiclowerer_array_muxed30 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 7);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv92 & (ddrphy_dfitimingschecker_ps1 < (sync_basiclowerer_array_muxed31 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps1, 7);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv92) begin
-               sync_t_array_muxed15 = ddrphy_dfitimingschecker_ps1;
-               case (ddrphy_dfitimingschecker_act_next15)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed15;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed15;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed15;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed15;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next15;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv93 & (ddrphy_dfitimingschecker_last_cmd7 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker44 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv93 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd5)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker45 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv93 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker46 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv93 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker46 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps1, 7);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv93) begin
-               ddrphy_dfitimingschecker_dfitimingschecker45 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd7 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv94 & (ddrphy_dfitimingschecker_last_cmd7 == 2'd3)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker44 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps1, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv94 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd5)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker45 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps1, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv94 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd4)) & (ddrphy_dfitimingschecker_ps1 < (ddrphy_dfitimingschecker_dfitimingschecker46 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps1, 7);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv94) begin
-               ddrphy_dfitimingschecker_dfitimingschecker46 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd7 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv95) begin
-               ddrphy_dfitimingschecker_dfitimingschecker47 <= ddrphy_dfitimingschecker_ps1;
-               ddrphy_dfitimingschecker_last_cmd7 <= ddrphy_dfitimingschecker_state1;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv96 & (ddrphy_dfitimingschecker_last_cmd0 == 1'd1)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker1 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps2, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv96 & (ddrphy_dfitimingschecker_last_cmd0 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker2 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps2, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv96 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker4 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps2, 0);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv96) begin
-               ddrphy_dfitimingschecker_dfitimingschecker0 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd0 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv97 & (ddrphy_dfitimingschecker_last_cmd0 == 2'd2)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker0 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps2, 0);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv97) begin
-               ddrphy_dfitimingschecker_dfitimingschecker1 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd0 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv98 & (ddrphy_dfitimingschecker_last_cmd0 == 2'd2)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker0 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv98 & (ddrphy_dfitimingschecker_last_cmd0 == 1'd1)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker1 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv98 & (ddrphy_dfitimingschecker_last_cmd0 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker2 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv98 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd6)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker5 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 0);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv98) begin
-               ddrphy_dfitimingschecker_dfitimingschecker2 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd0 <= ddrphy_dfitimingschecker_state2;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv98 & (ddrphy_dfitimingschecker_ps2 < (sync_basiclowerer_array_muxed32 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 0);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv98 & (ddrphy_dfitimingschecker_ps2 < (sync_basiclowerer_array_muxed33 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps2, 0);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv98) begin
-               sync_t_array_muxed16 = ddrphy_dfitimingschecker_ps2;
-               case (ddrphy_dfitimingschecker_act_next16)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed16;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed16;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed16;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed16;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next16;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv99 & (ddrphy_dfitimingschecker_last_cmd0 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker2 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv99 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd5)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker3 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv99 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker4 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv99 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker4 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 0);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv99) begin
-               ddrphy_dfitimingschecker_dfitimingschecker3 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd0 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv100 & (ddrphy_dfitimingschecker_last_cmd0 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker2 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps2, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv100 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd5)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker3 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps2, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv100 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker4 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps2, 0);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv100) begin
-               ddrphy_dfitimingschecker_dfitimingschecker4 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd0 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv101) begin
-               ddrphy_dfitimingschecker_dfitimingschecker5 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd0 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv102 & (ddrphy_dfitimingschecker_last_cmd1 == 1'd1)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker7 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps2, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv102 & (ddrphy_dfitimingschecker_last_cmd1 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker8 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps2, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv102 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker10 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps2, 1);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv102) begin
-               ddrphy_dfitimingschecker_dfitimingschecker6 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd1 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv103 & (ddrphy_dfitimingschecker_last_cmd1 == 2'd2)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker6 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps2, 1);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv103) begin
-               ddrphy_dfitimingschecker_dfitimingschecker7 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd1 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv104 & (ddrphy_dfitimingschecker_last_cmd1 == 2'd2)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker6 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv104 & (ddrphy_dfitimingschecker_last_cmd1 == 1'd1)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker7 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv104 & (ddrphy_dfitimingschecker_last_cmd1 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker8 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv104 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd6)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker11 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 1);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv104) begin
-               ddrphy_dfitimingschecker_dfitimingschecker8 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd1 <= ddrphy_dfitimingschecker_state2;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv104 & (ddrphy_dfitimingschecker_ps2 < (sync_basiclowerer_array_muxed34 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 1);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv104 & (ddrphy_dfitimingschecker_ps2 < (sync_basiclowerer_array_muxed35 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps2, 1);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv104) begin
-               sync_t_array_muxed17 = ddrphy_dfitimingschecker_ps2;
-               case (ddrphy_dfitimingschecker_act_next17)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed17;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed17;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed17;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed17;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next17;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv105 & (ddrphy_dfitimingschecker_last_cmd1 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker8 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv105 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd5)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker9 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv105 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker10 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv105 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker10 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 1);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv105) begin
-               ddrphy_dfitimingschecker_dfitimingschecker9 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd1 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv106 & (ddrphy_dfitimingschecker_last_cmd1 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker8 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps2, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv106 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd5)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker9 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps2, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv106 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker10 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps2, 1);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv106) begin
-               ddrphy_dfitimingschecker_dfitimingschecker10 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd1 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv107) begin
-               ddrphy_dfitimingschecker_dfitimingschecker11 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd1 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv108 & (ddrphy_dfitimingschecker_last_cmd2 == 1'd1)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker13 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps2, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv108 & (ddrphy_dfitimingschecker_last_cmd2 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker14 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps2, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv108 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker16 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps2, 2);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv108) begin
-               ddrphy_dfitimingschecker_dfitimingschecker12 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd2 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv109 & (ddrphy_dfitimingschecker_last_cmd2 == 2'd2)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker12 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps2, 2);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv109) begin
-               ddrphy_dfitimingschecker_dfitimingschecker13 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd2 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv110 & (ddrphy_dfitimingschecker_last_cmd2 == 2'd2)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker12 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv110 & (ddrphy_dfitimingschecker_last_cmd2 == 1'd1)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker13 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv110 & (ddrphy_dfitimingschecker_last_cmd2 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker14 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv110 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd6)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker17 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 2);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv110) begin
-               ddrphy_dfitimingschecker_dfitimingschecker14 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd2 <= ddrphy_dfitimingschecker_state2;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv110 & (ddrphy_dfitimingschecker_ps2 < (sync_basiclowerer_array_muxed36 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 2);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv110 & (ddrphy_dfitimingschecker_ps2 < (sync_basiclowerer_array_muxed37 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps2, 2);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv110) begin
-               sync_t_array_muxed18 = ddrphy_dfitimingschecker_ps2;
-               case (ddrphy_dfitimingschecker_act_next18)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed18;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed18;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed18;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed18;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next18;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv111 & (ddrphy_dfitimingschecker_last_cmd2 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker14 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv111 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd5)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker15 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv111 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker16 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv111 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker16 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 2);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv111) begin
-               ddrphy_dfitimingschecker_dfitimingschecker15 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd2 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv112 & (ddrphy_dfitimingschecker_last_cmd2 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker14 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps2, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv112 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd5)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker15 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps2, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv112 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker16 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps2, 2);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv112) begin
-               ddrphy_dfitimingschecker_dfitimingschecker16 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd2 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv113) begin
-               ddrphy_dfitimingschecker_dfitimingschecker17 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd2 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv114 & (ddrphy_dfitimingschecker_last_cmd3 == 1'd1)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker19 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps2, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv114 & (ddrphy_dfitimingschecker_last_cmd3 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker20 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps2, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv114 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker22 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps2, 3);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv114) begin
-               ddrphy_dfitimingschecker_dfitimingschecker18 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd3 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv115 & (ddrphy_dfitimingschecker_last_cmd3 == 2'd2)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker18 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps2, 3);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv115) begin
-               ddrphy_dfitimingschecker_dfitimingschecker19 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd3 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv116 & (ddrphy_dfitimingschecker_last_cmd3 == 2'd2)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker18 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv116 & (ddrphy_dfitimingschecker_last_cmd3 == 1'd1)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker19 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv116 & (ddrphy_dfitimingschecker_last_cmd3 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker20 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv116 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd6)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker23 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 3);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv116) begin
-               ddrphy_dfitimingschecker_dfitimingschecker20 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd3 <= ddrphy_dfitimingschecker_state2;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv116 & (ddrphy_dfitimingschecker_ps2 < (sync_basiclowerer_array_muxed38 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 3);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv116 & (ddrphy_dfitimingschecker_ps2 < (sync_basiclowerer_array_muxed39 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps2, 3);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv116) begin
-               sync_t_array_muxed19 = ddrphy_dfitimingschecker_ps2;
-               case (ddrphy_dfitimingschecker_act_next19)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed19;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed19;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed19;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed19;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next19;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv117 & (ddrphy_dfitimingschecker_last_cmd3 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker20 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv117 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd5)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker21 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv117 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker22 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv117 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker22 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 3);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv117) begin
-               ddrphy_dfitimingschecker_dfitimingschecker21 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd3 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv118 & (ddrphy_dfitimingschecker_last_cmd3 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker20 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps2, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv118 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd5)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker21 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps2, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv118 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker22 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps2, 3);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv118) begin
-               ddrphy_dfitimingschecker_dfitimingschecker22 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd3 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv119) begin
-               ddrphy_dfitimingschecker_dfitimingschecker23 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd3 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv120 & (ddrphy_dfitimingschecker_last_cmd4 == 1'd1)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker25 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps2, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv120 & (ddrphy_dfitimingschecker_last_cmd4 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker26 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps2, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv120 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker28 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps2, 4);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv120) begin
-               ddrphy_dfitimingschecker_dfitimingschecker24 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd4 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv121 & (ddrphy_dfitimingschecker_last_cmd4 == 2'd2)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker24 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps2, 4);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv121) begin
-               ddrphy_dfitimingschecker_dfitimingschecker25 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd4 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv122 & (ddrphy_dfitimingschecker_last_cmd4 == 2'd2)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker24 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv122 & (ddrphy_dfitimingschecker_last_cmd4 == 1'd1)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker25 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv122 & (ddrphy_dfitimingschecker_last_cmd4 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker26 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv122 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd6)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker29 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 4);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv122) begin
-               ddrphy_dfitimingschecker_dfitimingschecker26 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd4 <= ddrphy_dfitimingschecker_state2;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv122 & (ddrphy_dfitimingschecker_ps2 < (sync_basiclowerer_array_muxed40 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 4);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv122 & (ddrphy_dfitimingschecker_ps2 < (sync_basiclowerer_array_muxed41 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps2, 4);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv122) begin
-               sync_t_array_muxed20 = ddrphy_dfitimingschecker_ps2;
-               case (ddrphy_dfitimingschecker_act_next20)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed20;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed20;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed20;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed20;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next20;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv123 & (ddrphy_dfitimingschecker_last_cmd4 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker26 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv123 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd5)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker27 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv123 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker28 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv123 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker28 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 4);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv123) begin
-               ddrphy_dfitimingschecker_dfitimingschecker27 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd4 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv124 & (ddrphy_dfitimingschecker_last_cmd4 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker26 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps2, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv124 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd5)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker27 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps2, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv124 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker28 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps2, 4);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv124) begin
-               ddrphy_dfitimingschecker_dfitimingschecker28 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd4 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv125) begin
-               ddrphy_dfitimingschecker_dfitimingschecker29 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd4 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv126 & (ddrphy_dfitimingschecker_last_cmd5 == 1'd1)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker31 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps2, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv126 & (ddrphy_dfitimingschecker_last_cmd5 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker32 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps2, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv126 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker34 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps2, 5);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv126) begin
-               ddrphy_dfitimingschecker_dfitimingschecker30 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd5 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv127 & (ddrphy_dfitimingschecker_last_cmd5 == 2'd2)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker30 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps2, 5);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv127) begin
-               ddrphy_dfitimingschecker_dfitimingschecker31 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd5 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv128 & (ddrphy_dfitimingschecker_last_cmd5 == 2'd2)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker30 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv128 & (ddrphy_dfitimingschecker_last_cmd5 == 1'd1)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker31 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv128 & (ddrphy_dfitimingschecker_last_cmd5 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker32 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv128 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd6)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker35 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 5);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv128) begin
-               ddrphy_dfitimingschecker_dfitimingschecker32 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd5 <= ddrphy_dfitimingschecker_state2;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv128 & (ddrphy_dfitimingschecker_ps2 < (sync_basiclowerer_array_muxed42 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 5);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv128 & (ddrphy_dfitimingschecker_ps2 < (sync_basiclowerer_array_muxed43 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps2, 5);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv128) begin
-               sync_t_array_muxed21 = ddrphy_dfitimingschecker_ps2;
-               case (ddrphy_dfitimingschecker_act_next21)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed21;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed21;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed21;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed21;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next21;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv129 & (ddrphy_dfitimingschecker_last_cmd5 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker32 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv129 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd5)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker33 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv129 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker34 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv129 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker34 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 5);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv129) begin
-               ddrphy_dfitimingschecker_dfitimingschecker33 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd5 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv130 & (ddrphy_dfitimingschecker_last_cmd5 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker32 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps2, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv130 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd5)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker33 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps2, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv130 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker34 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps2, 5);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv130) begin
-               ddrphy_dfitimingschecker_dfitimingschecker34 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd5 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv131) begin
-               ddrphy_dfitimingschecker_dfitimingschecker35 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd5 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv132 & (ddrphy_dfitimingschecker_last_cmd6 == 1'd1)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker37 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps2, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv132 & (ddrphy_dfitimingschecker_last_cmd6 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker38 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps2, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv132 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker40 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps2, 6);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv132) begin
-               ddrphy_dfitimingschecker_dfitimingschecker36 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd6 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv133 & (ddrphy_dfitimingschecker_last_cmd6 == 2'd2)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker36 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps2, 6);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv133) begin
-               ddrphy_dfitimingschecker_dfitimingschecker37 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd6 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv134 & (ddrphy_dfitimingschecker_last_cmd6 == 2'd2)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker36 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv134 & (ddrphy_dfitimingschecker_last_cmd6 == 1'd1)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker37 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv134 & (ddrphy_dfitimingschecker_last_cmd6 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker38 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv134 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd6)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker41 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 6);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv134) begin
-               ddrphy_dfitimingschecker_dfitimingschecker38 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd6 <= ddrphy_dfitimingschecker_state2;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv134 & (ddrphy_dfitimingschecker_ps2 < (sync_basiclowerer_array_muxed44 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 6);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv134 & (ddrphy_dfitimingschecker_ps2 < (sync_basiclowerer_array_muxed45 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps2, 6);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv134) begin
-               sync_t_array_muxed22 = ddrphy_dfitimingschecker_ps2;
-               case (ddrphy_dfitimingschecker_act_next22)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed22;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed22;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed22;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed22;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next22;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv135 & (ddrphy_dfitimingschecker_last_cmd6 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker38 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv135 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd5)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker39 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv135 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker40 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv135 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker40 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 6);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv135) begin
-               ddrphy_dfitimingschecker_dfitimingschecker39 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd6 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv136 & (ddrphy_dfitimingschecker_last_cmd6 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker38 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps2, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv136 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd5)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker39 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps2, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv136 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker40 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps2, 6);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv136) begin
-               ddrphy_dfitimingschecker_dfitimingschecker40 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd6 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv137) begin
-               ddrphy_dfitimingschecker_dfitimingschecker41 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd6 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv138 & (ddrphy_dfitimingschecker_last_cmd7 == 1'd1)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker43 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps2, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv138 & (ddrphy_dfitimingschecker_last_cmd7 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker44 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps2, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv138 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker46 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps2, 7);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv138) begin
-               ddrphy_dfitimingschecker_dfitimingschecker42 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd7 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv139 & (ddrphy_dfitimingschecker_last_cmd7 == 2'd2)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker42 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps2, 7);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv139) begin
-               ddrphy_dfitimingschecker_dfitimingschecker43 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd7 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv140 & (ddrphy_dfitimingschecker_last_cmd7 == 2'd2)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker42 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv140 & (ddrphy_dfitimingschecker_last_cmd7 == 1'd1)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker43 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv140 & (ddrphy_dfitimingschecker_last_cmd7 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker44 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv140 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd6)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker47 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps2, 7);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv140) begin
-               ddrphy_dfitimingschecker_dfitimingschecker44 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd7 <= ddrphy_dfitimingschecker_state2;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv140 & (ddrphy_dfitimingschecker_ps2 < (sync_basiclowerer_array_muxed46 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 7);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv140 & (ddrphy_dfitimingschecker_ps2 < (sync_basiclowerer_array_muxed47 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps2, 7);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv140) begin
-               sync_t_array_muxed23 = ddrphy_dfitimingschecker_ps2;
-               case (ddrphy_dfitimingschecker_act_next23)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed23;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed23;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed23;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed23;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next23;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv141 & (ddrphy_dfitimingschecker_last_cmd7 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker44 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv141 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd5)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker45 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv141 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker46 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv141 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker46 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps2, 7);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv141) begin
-               ddrphy_dfitimingschecker_dfitimingschecker45 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd7 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv142 & (ddrphy_dfitimingschecker_last_cmd7 == 2'd3)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker44 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps2, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv142 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd5)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker45 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps2, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv142 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd4)) & (ddrphy_dfitimingschecker_ps2 < (ddrphy_dfitimingschecker_dfitimingschecker46 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps2, 7);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv142) begin
-               ddrphy_dfitimingschecker_dfitimingschecker46 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd7 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv143) begin
-               ddrphy_dfitimingschecker_dfitimingschecker47 <= ddrphy_dfitimingschecker_ps2;
-               ddrphy_dfitimingschecker_last_cmd7 <= ddrphy_dfitimingschecker_state2;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv144 & (ddrphy_dfitimingschecker_last_cmd0 == 1'd1)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker1 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps3, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv144 & (ddrphy_dfitimingschecker_last_cmd0 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker2 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps3, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv144 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker4 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps3, 0);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv144) begin
-               ddrphy_dfitimingschecker_dfitimingschecker0 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd0 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv145 & (ddrphy_dfitimingschecker_last_cmd0 == 2'd2)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker0 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps3, 0);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv145) begin
-               ddrphy_dfitimingschecker_dfitimingschecker1 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd0 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv146 & (ddrphy_dfitimingschecker_last_cmd0 == 2'd2)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker0 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv146 & (ddrphy_dfitimingschecker_last_cmd0 == 1'd1)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker1 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv146 & (ddrphy_dfitimingschecker_last_cmd0 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker2 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv146 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd6)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker5 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 0);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv146) begin
-               ddrphy_dfitimingschecker_dfitimingschecker2 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd0 <= ddrphy_dfitimingschecker_state3;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv146 & (ddrphy_dfitimingschecker_ps3 < (sync_basiclowerer_array_muxed48 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 0);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv146 & (ddrphy_dfitimingschecker_ps3 < (sync_basiclowerer_array_muxed49 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps3, 0);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv146) begin
-               sync_t_array_muxed24 = ddrphy_dfitimingschecker_ps3;
-               case (ddrphy_dfitimingschecker_act_next24)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed24;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed24;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed24;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed24;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next24;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv147 & (ddrphy_dfitimingschecker_last_cmd0 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker2 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv147 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd5)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker3 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv147 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker4 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv147 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker4 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 0);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv147) begin
-               ddrphy_dfitimingschecker_dfitimingschecker3 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd0 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv148 & (ddrphy_dfitimingschecker_last_cmd0 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker2 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps3, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv148 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd5)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker3 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps3, 0);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv148 & (ddrphy_dfitimingschecker_last_cmd0 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker4 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps3, 0);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv148) begin
-               ddrphy_dfitimingschecker_dfitimingschecker4 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd0 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv149) begin
-               ddrphy_dfitimingschecker_dfitimingschecker5 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd0 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv150 & (ddrphy_dfitimingschecker_last_cmd1 == 1'd1)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker7 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps3, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv150 & (ddrphy_dfitimingschecker_last_cmd1 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker8 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps3, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv150 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker10 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps3, 1);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv150) begin
-               ddrphy_dfitimingschecker_dfitimingschecker6 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd1 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv151 & (ddrphy_dfitimingschecker_last_cmd1 == 2'd2)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker6 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps3, 1);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv151) begin
-               ddrphy_dfitimingschecker_dfitimingschecker7 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd1 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv152 & (ddrphy_dfitimingschecker_last_cmd1 == 2'd2)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker6 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv152 & (ddrphy_dfitimingschecker_last_cmd1 == 1'd1)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker7 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv152 & (ddrphy_dfitimingschecker_last_cmd1 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker8 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv152 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd6)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker11 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 1);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv152) begin
-               ddrphy_dfitimingschecker_dfitimingschecker8 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd1 <= ddrphy_dfitimingschecker_state3;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv152 & (ddrphy_dfitimingschecker_ps3 < (sync_basiclowerer_array_muxed50 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 1);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv152 & (ddrphy_dfitimingschecker_ps3 < (sync_basiclowerer_array_muxed51 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps3, 1);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv152) begin
-               sync_t_array_muxed25 = ddrphy_dfitimingschecker_ps3;
-               case (ddrphy_dfitimingschecker_act_next25)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed25;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed25;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed25;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed25;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next25;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv153 & (ddrphy_dfitimingschecker_last_cmd1 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker8 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv153 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd5)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker9 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv153 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker10 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv153 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker10 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 1);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv153) begin
-               ddrphy_dfitimingschecker_dfitimingschecker9 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd1 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv154 & (ddrphy_dfitimingschecker_last_cmd1 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker8 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps3, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv154 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd5)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker9 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps3, 1);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv154 & (ddrphy_dfitimingschecker_last_cmd1 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker10 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps3, 1);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv154) begin
-               ddrphy_dfitimingschecker_dfitimingschecker10 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd1 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv155) begin
-               ddrphy_dfitimingschecker_dfitimingschecker11 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd1 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv156 & (ddrphy_dfitimingschecker_last_cmd2 == 1'd1)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker13 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps3, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv156 & (ddrphy_dfitimingschecker_last_cmd2 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker14 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps3, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv156 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker16 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps3, 2);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv156) begin
-               ddrphy_dfitimingschecker_dfitimingschecker12 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd2 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv157 & (ddrphy_dfitimingschecker_last_cmd2 == 2'd2)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker12 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps3, 2);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv157) begin
-               ddrphy_dfitimingschecker_dfitimingschecker13 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd2 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv158 & (ddrphy_dfitimingschecker_last_cmd2 == 2'd2)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker12 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv158 & (ddrphy_dfitimingschecker_last_cmd2 == 1'd1)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker13 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv158 & (ddrphy_dfitimingschecker_last_cmd2 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker14 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv158 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd6)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker17 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 2);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv158) begin
-               ddrphy_dfitimingschecker_dfitimingschecker14 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd2 <= ddrphy_dfitimingschecker_state3;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv158 & (ddrphy_dfitimingschecker_ps3 < (sync_basiclowerer_array_muxed52 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 2);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv158 & (ddrphy_dfitimingschecker_ps3 < (sync_basiclowerer_array_muxed53 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps3, 2);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv158) begin
-               sync_t_array_muxed26 = ddrphy_dfitimingschecker_ps3;
-               case (ddrphy_dfitimingschecker_act_next26)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed26;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed26;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed26;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed26;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next26;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv159 & (ddrphy_dfitimingschecker_last_cmd2 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker14 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv159 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd5)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker15 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv159 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker16 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv159 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker16 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 2);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv159) begin
-               ddrphy_dfitimingschecker_dfitimingschecker15 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd2 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv160 & (ddrphy_dfitimingschecker_last_cmd2 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker14 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps3, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv160 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd5)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker15 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps3, 2);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv160 & (ddrphy_dfitimingschecker_last_cmd2 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker16 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps3, 2);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv160) begin
-               ddrphy_dfitimingschecker_dfitimingschecker16 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd2 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv161) begin
-               ddrphy_dfitimingschecker_dfitimingschecker17 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd2 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv162 & (ddrphy_dfitimingschecker_last_cmd3 == 1'd1)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker19 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps3, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv162 & (ddrphy_dfitimingschecker_last_cmd3 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker20 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps3, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv162 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker22 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps3, 3);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv162) begin
-               ddrphy_dfitimingschecker_dfitimingschecker18 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd3 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv163 & (ddrphy_dfitimingschecker_last_cmd3 == 2'd2)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker18 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps3, 3);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv163) begin
-               ddrphy_dfitimingschecker_dfitimingschecker19 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd3 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv164 & (ddrphy_dfitimingschecker_last_cmd3 == 2'd2)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker18 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv164 & (ddrphy_dfitimingschecker_last_cmd3 == 1'd1)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker19 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv164 & (ddrphy_dfitimingschecker_last_cmd3 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker20 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv164 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd6)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker23 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 3);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv164) begin
-               ddrphy_dfitimingschecker_dfitimingschecker20 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd3 <= ddrphy_dfitimingschecker_state3;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv164 & (ddrphy_dfitimingschecker_ps3 < (sync_basiclowerer_array_muxed54 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 3);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv164 & (ddrphy_dfitimingschecker_ps3 < (sync_basiclowerer_array_muxed55 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps3, 3);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv164) begin
-               sync_t_array_muxed27 = ddrphy_dfitimingschecker_ps3;
-               case (ddrphy_dfitimingschecker_act_next27)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed27;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed27;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed27;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed27;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next27;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv165 & (ddrphy_dfitimingschecker_last_cmd3 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker20 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv165 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd5)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker21 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv165 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker22 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv165 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker22 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 3);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv165) begin
-               ddrphy_dfitimingschecker_dfitimingschecker21 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd3 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv166 & (ddrphy_dfitimingschecker_last_cmd3 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker20 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps3, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv166 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd5)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker21 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps3, 3);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv166 & (ddrphy_dfitimingschecker_last_cmd3 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker22 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps3, 3);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv166) begin
-               ddrphy_dfitimingschecker_dfitimingschecker22 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd3 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv167) begin
-               ddrphy_dfitimingschecker_dfitimingschecker23 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd3 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv168 & (ddrphy_dfitimingschecker_last_cmd4 == 1'd1)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker25 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps3, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv168 & (ddrphy_dfitimingschecker_last_cmd4 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker26 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps3, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv168 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker28 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps3, 4);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv168) begin
-               ddrphy_dfitimingschecker_dfitimingschecker24 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd4 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv169 & (ddrphy_dfitimingschecker_last_cmd4 == 2'd2)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker24 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps3, 4);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv169) begin
-               ddrphy_dfitimingschecker_dfitimingschecker25 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd4 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv170 & (ddrphy_dfitimingschecker_last_cmd4 == 2'd2)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker24 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv170 & (ddrphy_dfitimingschecker_last_cmd4 == 1'd1)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker25 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv170 & (ddrphy_dfitimingschecker_last_cmd4 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker26 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv170 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd6)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker29 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 4);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv170) begin
-               ddrphy_dfitimingschecker_dfitimingschecker26 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd4 <= ddrphy_dfitimingschecker_state3;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv170 & (ddrphy_dfitimingschecker_ps3 < (sync_basiclowerer_array_muxed56 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 4);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv170 & (ddrphy_dfitimingschecker_ps3 < (sync_basiclowerer_array_muxed57 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps3, 4);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv170) begin
-               sync_t_array_muxed28 = ddrphy_dfitimingschecker_ps3;
-               case (ddrphy_dfitimingschecker_act_next28)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed28;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed28;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed28;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed28;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next28;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv171 & (ddrphy_dfitimingschecker_last_cmd4 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker26 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv171 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd5)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker27 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv171 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker28 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv171 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker28 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 4);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv171) begin
-               ddrphy_dfitimingschecker_dfitimingschecker27 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd4 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv172 & (ddrphy_dfitimingschecker_last_cmd4 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker26 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps3, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv172 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd5)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker27 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps3, 4);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv172 & (ddrphy_dfitimingschecker_last_cmd4 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker28 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps3, 4);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv172) begin
-               ddrphy_dfitimingschecker_dfitimingschecker28 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd4 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv173) begin
-               ddrphy_dfitimingschecker_dfitimingschecker29 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd4 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv174 & (ddrphy_dfitimingschecker_last_cmd5 == 1'd1)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker31 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps3, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv174 & (ddrphy_dfitimingschecker_last_cmd5 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker32 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps3, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv174 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker34 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps3, 5);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv174) begin
-               ddrphy_dfitimingschecker_dfitimingschecker30 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd5 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv175 & (ddrphy_dfitimingschecker_last_cmd5 == 2'd2)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker30 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps3, 5);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv175) begin
-               ddrphy_dfitimingschecker_dfitimingschecker31 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd5 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv176 & (ddrphy_dfitimingschecker_last_cmd5 == 2'd2)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker30 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv176 & (ddrphy_dfitimingschecker_last_cmd5 == 1'd1)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker31 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv176 & (ddrphy_dfitimingschecker_last_cmd5 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker32 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv176 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd6)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker35 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 5);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv176) begin
-               ddrphy_dfitimingschecker_dfitimingschecker32 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd5 <= ddrphy_dfitimingschecker_state3;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv176 & (ddrphy_dfitimingschecker_ps3 < (sync_basiclowerer_array_muxed58 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 5);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv176 & (ddrphy_dfitimingschecker_ps3 < (sync_basiclowerer_array_muxed59 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps3, 5);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv176) begin
-               sync_t_array_muxed29 = ddrphy_dfitimingschecker_ps3;
-               case (ddrphy_dfitimingschecker_act_next29)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed29;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed29;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed29;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed29;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next29;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv177 & (ddrphy_dfitimingschecker_last_cmd5 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker32 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv177 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd5)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker33 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv177 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker34 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv177 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker34 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 5);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv177) begin
-               ddrphy_dfitimingschecker_dfitimingschecker33 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd5 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv178 & (ddrphy_dfitimingschecker_last_cmd5 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker32 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps3, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv178 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd5)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker33 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps3, 5);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv178 & (ddrphy_dfitimingschecker_last_cmd5 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker34 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps3, 5);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv178) begin
-               ddrphy_dfitimingschecker_dfitimingschecker34 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd5 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv179) begin
-               ddrphy_dfitimingschecker_dfitimingschecker35 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd5 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv180 & (ddrphy_dfitimingschecker_last_cmd6 == 1'd1)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker37 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps3, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv180 & (ddrphy_dfitimingschecker_last_cmd6 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker38 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps3, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv180 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker40 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps3, 6);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv180) begin
-               ddrphy_dfitimingschecker_dfitimingschecker36 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd6 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv181 & (ddrphy_dfitimingschecker_last_cmd6 == 2'd2)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker36 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps3, 6);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv181) begin
-               ddrphy_dfitimingschecker_dfitimingschecker37 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd6 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv182 & (ddrphy_dfitimingschecker_last_cmd6 == 2'd2)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker36 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv182 & (ddrphy_dfitimingschecker_last_cmd6 == 1'd1)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker37 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv182 & (ddrphy_dfitimingschecker_last_cmd6 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker38 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv182 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd6)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker41 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 6);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv182) begin
-               ddrphy_dfitimingschecker_dfitimingschecker38 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd6 <= ddrphy_dfitimingschecker_state3;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv182 & (ddrphy_dfitimingschecker_ps3 < (sync_basiclowerer_array_muxed60 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 6);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv182 & (ddrphy_dfitimingschecker_ps3 < (sync_basiclowerer_array_muxed61 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps3, 6);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv182) begin
-               sync_t_array_muxed30 = ddrphy_dfitimingschecker_ps3;
-               case (ddrphy_dfitimingschecker_act_next30)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed30;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed30;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed30;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed30;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next30;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv183 & (ddrphy_dfitimingschecker_last_cmd6 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker38 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv183 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd5)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker39 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv183 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker40 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv183 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker40 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 6);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv183) begin
-               ddrphy_dfitimingschecker_dfitimingschecker39 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd6 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv184 & (ddrphy_dfitimingschecker_last_cmd6 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker38 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps3, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv184 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd5)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker39 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps3, 6);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv184 & (ddrphy_dfitimingschecker_last_cmd6 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker40 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps3, 6);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv184) begin
-               ddrphy_dfitimingschecker_dfitimingschecker40 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd6 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv185) begin
-               ddrphy_dfitimingschecker_dfitimingschecker41 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd6 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv186 & (ddrphy_dfitimingschecker_last_cmd7 == 1'd1)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker43 + 19'd320000)))) begin
-               $display("[%016dps] REF->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps3, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv186 & (ddrphy_dfitimingschecker_last_cmd7 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker44 + 16'd35000)))) begin
-               $display("[%016dps] ACT->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps3, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv186 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker46 + 15'd21250)))) begin
-               $display("[%016dps] WR->PRE violation on bank %0d", ddrphy_dfitimingschecker_ps3, 7);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv186) begin
-               ddrphy_dfitimingschecker_dfitimingschecker42 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd7 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv187 & (ddrphy_dfitimingschecker_last_cmd7 == 2'd2)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker42 + 14'd13750)))) begin
-               $display("[%016dps] PRE->REF violation on bank %0d", ddrphy_dfitimingschecker_ps3, 7);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv187) begin
-               ddrphy_dfitimingschecker_dfitimingschecker43 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd7 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv188 & (ddrphy_dfitimingschecker_last_cmd7 == 2'd2)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker42 + 14'd13750)))) begin
-               $display("[%016dps] PRE->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv188 & (ddrphy_dfitimingschecker_last_cmd7 == 1'd1)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker43 + 19'd320000)))) begin
-               $display("[%016dps] REF->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv188 & (ddrphy_dfitimingschecker_last_cmd7 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker44 + 16'd48750)))) begin
-               $display("[%016dps] ACT->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv188 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd6)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker47 + 18'd160000)))) begin
-               $display("[%016dps] ZQCS->ACT violation on bank %0d", ddrphy_dfitimingschecker_ps3, 7);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv188) begin
-               ddrphy_dfitimingschecker_dfitimingschecker44 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd7 <= ddrphy_dfitimingschecker_state3;
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv188 & (ddrphy_dfitimingschecker_ps3 < (sync_basiclowerer_array_muxed62 + 14'd10000)))) begin
-               $display("[%016dps] tRRD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 7);
-       end
-       if ((ddrphy_dfitimingschecker_cmd_recv188 & (ddrphy_dfitimingschecker_ps3 < (sync_basiclowerer_array_muxed63 + 16'd40000)))) begin
-               $display("[%016dps] tFAW violation on bank %0d", ddrphy_dfitimingschecker_ps3, 7);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv188) begin
-               sync_t_array_muxed31 = ddrphy_dfitimingschecker_ps3;
-               case (ddrphy_dfitimingschecker_act_next31)
-                       1'd0: begin
-                               ddrphy_dfitimingschecker0 <= sync_t_array_muxed31;
-                       end
-                       1'd1: begin
-                               ddrphy_dfitimingschecker1 <= sync_t_array_muxed31;
-                       end
-                       2'd2: begin
-                               ddrphy_dfitimingschecker2 <= sync_t_array_muxed31;
-                       end
-                       default: begin
-                               ddrphy_dfitimingschecker3 <= sync_t_array_muxed31;
-                       end
-               endcase
-               ddrphy_dfitimingschecker_act_curr <= ddrphy_dfitimingschecker_act_next31;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv189 & (ddrphy_dfitimingschecker_last_cmd7 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker44 + 14'd13750)))) begin
-               $display("[%016dps] ACT->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv189 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd5)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker45 + 14'd10000)))) begin
-               $display("[%016dps] RD->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv189 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker46 + 14'd10000)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv189 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker46 + 15'd17500)))) begin
-               $display("[%016dps] WR->RD violation on bank %0d", ddrphy_dfitimingschecker_ps3, 7);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv189) begin
-               ddrphy_dfitimingschecker_dfitimingschecker45 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd7 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv190 & (ddrphy_dfitimingschecker_last_cmd7 == 2'd3)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker44 + 14'd13750)))) begin
-               $display("[%016dps] ACT->WR violation on bank %0d", ddrphy_dfitimingschecker_ps3, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv190 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd5)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker45 + 14'd10000)))) begin
-               $display("[%016dps] RD->WR violation on bank %0d", ddrphy_dfitimingschecker_ps3, 7);
-       end
-       if (((ddrphy_dfitimingschecker_cmd_recv190 & (ddrphy_dfitimingschecker_last_cmd7 == 3'd4)) & (ddrphy_dfitimingschecker_ps3 < (ddrphy_dfitimingschecker_dfitimingschecker46 + 14'd10000)))) begin
-               $display("[%016dps] WR->WR violation on bank %0d", ddrphy_dfitimingschecker_ps3, 7);
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv190) begin
-               ddrphy_dfitimingschecker_dfitimingschecker46 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd7 <= ddrphy_dfitimingschecker_state3;
-       end
-       if (ddrphy_dfitimingschecker_cmd_recv191) begin
-               ddrphy_dfitimingschecker_dfitimingschecker47 <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_last_cmd7 <= ddrphy_dfitimingschecker_state3;
-       end
-       if ((ddrphy_dfitimingschecker_ref_ps_mod < 36'd64000000000)) begin
-               ddrphy_dfitimingschecker_ref_ps_mod <= (ddrphy_dfitimingschecker_ref_ps_mod + 14'd10000);
-       end else begin
-               ddrphy_dfitimingschecker_ref_ps_mod <= 1'd0;
-       end
-       if ((ddrphy_dfitimingschecker_ref_issued != 1'd0)) begin
-               ddrphy_dfitimingschecker_ref_ps <= ddrphy_dfitimingschecker_ps3;
-               ddrphy_dfitimingschecker_ref_ps_diff <= (ddrphy_dfitimingschecker_ref_ps_diff - ddrphy_dfitimingschecker_curr_diff);
-       end
-       if (($signed({1'd0, (ddrphy_dfitimingschecker_ref_ps_mod == 1'd0)}) & (ddrphy_dfitimingschecker_ref_ps_diff > $signed({1'd0, 1'd0})))) begin
-               $display("[%016dps] tREFI violation (64ms period): %0d", ddrphy_dfitimingschecker_ps3, ddrphy_dfitimingschecker_ref_ps_diff);
-       end
-       if ((ddrphy_dfitimingschecker_ref_issued != 1'd0)) begin
-               ddrphy_dfitimingschecker_ref_done <= 1'd1;
-       end
-       if ((((ddrphy_dfitimingschecker_ref_issued == 1'd0) & ddrphy_dfitimingschecker_ref_done) & (ddrphy_dfitimingschecker_ref_ps > (ddrphy_dfitimingschecker_ps3 + 27'd70312500)))) begin
-               $display("[%016dps] tREFI violation (too many postponed refreshes)", ddrphy_dfitimingschecker_ps3);
-               ddrphy_dfitimingschecker_ref_done <= 1'd0;
-       end
+       array_muxed18 = 1'd0;
+       case (litedramcore_steerer_sel2)
+               1'd0: begin
+                       array_muxed18 = 1'd0;
+               end
+               1'd1: begin
+                       array_muxed18 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we);
+               end
+               2'd2: begin
+                       array_muxed18 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we);
+               end
+               default: begin
+                       array_muxed18 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we);
+               end
+       endcase
+end
+always @(*) begin
+       array_muxed19 = 1'd0;
+       case (litedramcore_steerer_sel2)
+               1'd0: begin
+                       array_muxed19 = 1'd0;
+               end
+               1'd1: begin
+                       array_muxed19 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read);
+               end
+               2'd2: begin
+                       array_muxed19 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read);
+               end
+               default: begin
+                       array_muxed19 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read);
+               end
+       endcase
+end
+always @(*) begin
+       array_muxed20 = 1'd0;
+       case (litedramcore_steerer_sel2)
+               1'd0: begin
+                       array_muxed20 = 1'd0;
+               end
+               1'd1: begin
+                       array_muxed20 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write);
+               end
+               2'd2: begin
+                       array_muxed20 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write);
+               end
+               default: begin
+                       array_muxed20 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write);
+               end
+       endcase
+end
+always @(*) begin
+       array_muxed21 = 3'd0;
+       case (litedramcore_steerer_sel3)
+               1'd0: begin
+                       array_muxed21 = litedramcore_nop_ba[2:0];
+               end
+               1'd1: begin
+                       array_muxed21 = litedramcore_choose_cmd_cmd_payload_ba[2:0];
+               end
+               2'd2: begin
+                       array_muxed21 = litedramcore_choose_req_cmd_payload_ba[2:0];
+               end
+               default: begin
+                       array_muxed21 = litedramcore_cmd_payload_ba[2:0];
+               end
+       endcase
+end
+always @(*) begin
+       array_muxed22 = 14'd0;
+       case (litedramcore_steerer_sel3)
+               1'd0: begin
+                       array_muxed22 = litedramcore_nop_a;
+               end
+               1'd1: begin
+                       array_muxed22 = litedramcore_choose_cmd_cmd_payload_a;
+               end
+               2'd2: begin
+                       array_muxed22 = litedramcore_choose_req_cmd_payload_a;
+               end
+               default: begin
+                       array_muxed22 = litedramcore_cmd_payload_a;
+               end
+       endcase
+end
+always @(*) begin
+       array_muxed23 = 1'd0;
+       case (litedramcore_steerer_sel3)
+               1'd0: begin
+                       array_muxed23 = 1'd0;
+               end
+               1'd1: begin
+                       array_muxed23 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_cas);
+               end
+               2'd2: begin
+                       array_muxed23 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_cas);
+               end
+               default: begin
+                       array_muxed23 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_cas);
+               end
+       endcase
+end
+always @(*) begin
+       array_muxed24 = 1'd0;
+       case (litedramcore_steerer_sel3)
+               1'd0: begin
+                       array_muxed24 = 1'd0;
+               end
+               1'd1: begin
+                       array_muxed24 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_ras);
+               end
+               2'd2: begin
+                       array_muxed24 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_ras);
+               end
+               default: begin
+                       array_muxed24 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_ras);
+               end
+       endcase
+end
+always @(*) begin
+       array_muxed25 = 1'd0;
+       case (litedramcore_steerer_sel3)
+               1'd0: begin
+                       array_muxed25 = 1'd0;
+               end
+               1'd1: begin
+                       array_muxed25 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_we);
+               end
+               2'd2: begin
+                       array_muxed25 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_we);
+               end
+               default: begin
+                       array_muxed25 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_we);
+               end
+       endcase
+end
+always @(*) begin
+       array_muxed26 = 1'd0;
+       case (litedramcore_steerer_sel3)
+               1'd0: begin
+                       array_muxed26 = 1'd0;
+               end
+               1'd1: begin
+                       array_muxed26 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_read);
+               end
+               2'd2: begin
+                       array_muxed26 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_read);
+               end
+               default: begin
+                       array_muxed26 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_read);
+               end
+       endcase
+end
+always @(*) begin
+       array_muxed27 = 1'd0;
+       case (litedramcore_steerer_sel3)
+               1'd0: begin
+                       array_muxed27 = 1'd0;
+               end
+               1'd1: begin
+                       array_muxed27 = ((litedramcore_choose_cmd_cmd_valid & litedramcore_choose_cmd_cmd_ready) & litedramcore_choose_cmd_cmd_payload_is_write);
+               end
+               2'd2: begin
+                       array_muxed27 = ((litedramcore_choose_req_cmd_valid & litedramcore_choose_req_cmd_ready) & litedramcore_choose_req_cmd_payload_is_write);
+               end
+               default: begin
+                       array_muxed27 = ((litedramcore_cmd_valid & litedramcore_cmd_ready) & litedramcore_cmd_payload_is_write);
+               end
+       endcase
+end
+
+always @(posedge por_clk) begin
+       int_rst <= 1'd0;
+end
+
+always @(posedge sys_clk) begin
+       state <= next_state;
+       ddrphy_new_bank_write0 <= ddrphy_bank_write0;
+       ddrphy_new_bank_write_col0 <= ddrphy_bank_write_col0;
+       ddrphy_new_bank_write1 <= ddrphy_new_bank_write0;
+       ddrphy_new_bank_write_col1 <= ddrphy_new_bank_write_col0;
+       ddrphy_new_bank_write2 <= ddrphy_bank_write1;
+       ddrphy_new_bank_write_col2 <= ddrphy_bank_write_col1;
+       ddrphy_new_bank_write3 <= ddrphy_new_bank_write2;
+       ddrphy_new_bank_write_col3 <= ddrphy_new_bank_write_col2;
+       ddrphy_new_bank_write4 <= ddrphy_bank_write2;
+       ddrphy_new_bank_write_col4 <= ddrphy_bank_write_col2;
+       ddrphy_new_bank_write5 <= ddrphy_new_bank_write4;
+       ddrphy_new_bank_write_col5 <= ddrphy_new_bank_write_col4;
+       ddrphy_new_bank_write6 <= ddrphy_bank_write3;
+       ddrphy_new_bank_write_col6 <= ddrphy_bank_write_col3;
+       ddrphy_new_bank_write7 <= ddrphy_new_bank_write6;
+       ddrphy_new_bank_write_col7 <= ddrphy_new_bank_write_col6;
+       ddrphy_new_bank_write8 <= ddrphy_bank_write4;
+       ddrphy_new_bank_write_col8 <= ddrphy_bank_write_col4;
+       ddrphy_new_bank_write9 <= ddrphy_new_bank_write8;
+       ddrphy_new_bank_write_col9 <= ddrphy_new_bank_write_col8;
+       ddrphy_new_bank_write10 <= ddrphy_bank_write5;
+       ddrphy_new_bank_write_col10 <= ddrphy_bank_write_col5;
+       ddrphy_new_bank_write11 <= ddrphy_new_bank_write10;
+       ddrphy_new_bank_write_col11 <= ddrphy_new_bank_write_col10;
+       ddrphy_new_bank_write12 <= ddrphy_bank_write6;
+       ddrphy_new_bank_write_col12 <= ddrphy_bank_write_col6;
+       ddrphy_new_bank_write13 <= ddrphy_new_bank_write12;
+       ddrphy_new_bank_write_col13 <= ddrphy_new_bank_write_col12;
+       ddrphy_new_bank_write14 <= ddrphy_bank_write7;
+       ddrphy_new_bank_write_col14 <= ddrphy_bank_write_col7;
+       ddrphy_new_bank_write15 <= ddrphy_new_bank_write14;
+       ddrphy_new_bank_write_col15 <= ddrphy_new_bank_write_col14;
+       ddrphy_new_banks_read0 <= ddrphy_banks_read;
+       ddrphy_new_banks_read_data0 <= ddrphy_banks_read_data;
+       ddrphy_new_banks_read1 <= ddrphy_new_banks_read0;
+       ddrphy_new_banks_read_data1 <= ddrphy_new_banks_read_data0;
+       ddrphy_new_banks_read2 <= ddrphy_new_banks_read1;
+       ddrphy_new_banks_read_data2 <= ddrphy_new_banks_read_data1;
+       ddrphy_new_banks_read3 <= ddrphy_new_banks_read2;
+       ddrphy_new_banks_read_data3 <= ddrphy_new_banks_read_data2;
+       ddrphy_new_banks_read4 <= ddrphy_new_banks_read3;
+       ddrphy_new_banks_read_data4 <= ddrphy_new_banks_read_data3;
+       ddrphy_new_banks_read5 <= ddrphy_new_banks_read4;
+       ddrphy_new_banks_read_data5 <= ddrphy_new_banks_read_data4;
+       ddrphy_new_banks_read6 <= ddrphy_new_banks_read5;
+       ddrphy_new_banks_read_data6 <= ddrphy_new_banks_read_data5;
+       ddrphy_new_banks_read7 <= ddrphy_new_banks_read6;
+       ddrphy_new_banks_read_data7 <= ddrphy_new_banks_read_data6;
+       ddrphy_new_banks_read8 <= ddrphy_new_banks_read7;
+       ddrphy_new_banks_read_data8 <= ddrphy_new_banks_read_data7;
        if (ddrphy_bankmodel0_precharge) begin
                ddrphy_bankmodel0_active <= 1'd0;
        end else begin
@@ -17165,37 +12649,37 @@ always @(posedge sys_clk) begin
                endcase
        end
        litedramcore_dfi_p0_cs_n <= 1'd0;
-       litedramcore_dfi_p0_bank <= sync_rhs_array_muxed0;
-       litedramcore_dfi_p0_address <= sync_rhs_array_muxed1;
-       litedramcore_dfi_p0_cas_n <= (~sync_rhs_array_muxed2);
-       litedramcore_dfi_p0_ras_n <= (~sync_rhs_array_muxed3);
-       litedramcore_dfi_p0_we_n <= (~sync_rhs_array_muxed4);
-       litedramcore_dfi_p0_rddata_en <= sync_rhs_array_muxed5;
-       litedramcore_dfi_p0_wrdata_en <= sync_rhs_array_muxed6;
+       litedramcore_dfi_p0_bank <= array_muxed0;
+       litedramcore_dfi_p0_address <= array_muxed1;
+       litedramcore_dfi_p0_cas_n <= (~array_muxed2);
+       litedramcore_dfi_p0_ras_n <= (~array_muxed3);
+       litedramcore_dfi_p0_we_n <= (~array_muxed4);
+       litedramcore_dfi_p0_rddata_en <= array_muxed5;
+       litedramcore_dfi_p0_wrdata_en <= array_muxed6;
        litedramcore_dfi_p1_cs_n <= 1'd0;
-       litedramcore_dfi_p1_bank <= sync_rhs_array_muxed7;
-       litedramcore_dfi_p1_address <= sync_rhs_array_muxed8;
-       litedramcore_dfi_p1_cas_n <= (~sync_rhs_array_muxed9);
-       litedramcore_dfi_p1_ras_n <= (~sync_rhs_array_muxed10);
-       litedramcore_dfi_p1_we_n <= (~sync_rhs_array_muxed11);
-       litedramcore_dfi_p1_rddata_en <= sync_rhs_array_muxed12;
-       litedramcore_dfi_p1_wrdata_en <= sync_rhs_array_muxed13;
+       litedramcore_dfi_p1_bank <= array_muxed7;
+       litedramcore_dfi_p1_address <= array_muxed8;
+       litedramcore_dfi_p1_cas_n <= (~array_muxed9);
+       litedramcore_dfi_p1_ras_n <= (~array_muxed10);
+       litedramcore_dfi_p1_we_n <= (~array_muxed11);
+       litedramcore_dfi_p1_rddata_en <= array_muxed12;
+       litedramcore_dfi_p1_wrdata_en <= array_muxed13;
        litedramcore_dfi_p2_cs_n <= 1'd0;
-       litedramcore_dfi_p2_bank <= sync_rhs_array_muxed14;
-       litedramcore_dfi_p2_address <= sync_rhs_array_muxed15;
-       litedramcore_dfi_p2_cas_n <= (~sync_rhs_array_muxed16);
-       litedramcore_dfi_p2_ras_n <= (~sync_rhs_array_muxed17);
-       litedramcore_dfi_p2_we_n <= (~sync_rhs_array_muxed18);
-       litedramcore_dfi_p2_rddata_en <= sync_rhs_array_muxed19;
-       litedramcore_dfi_p2_wrdata_en <= sync_rhs_array_muxed20;
+       litedramcore_dfi_p2_bank <= array_muxed14;
+       litedramcore_dfi_p2_address <= array_muxed15;
+       litedramcore_dfi_p2_cas_n <= (~array_muxed16);
+       litedramcore_dfi_p2_ras_n <= (~array_muxed17);
+       litedramcore_dfi_p2_we_n <= (~array_muxed18);
+       litedramcore_dfi_p2_rddata_en <= array_muxed19;
+       litedramcore_dfi_p2_wrdata_en <= array_muxed20;
        litedramcore_dfi_p3_cs_n <= 1'd0;
-       litedramcore_dfi_p3_bank <= sync_rhs_array_muxed21;
-       litedramcore_dfi_p3_address <= sync_rhs_array_muxed22;
-       litedramcore_dfi_p3_cas_n <= (~sync_rhs_array_muxed23);
-       litedramcore_dfi_p3_ras_n <= (~sync_rhs_array_muxed24);
-       litedramcore_dfi_p3_we_n <= (~sync_rhs_array_muxed25);
-       litedramcore_dfi_p3_rddata_en <= sync_rhs_array_muxed26;
-       litedramcore_dfi_p3_wrdata_en <= sync_rhs_array_muxed27;
+       litedramcore_dfi_p3_bank <= array_muxed21;
+       litedramcore_dfi_p3_address <= array_muxed22;
+       litedramcore_dfi_p3_cas_n <= (~array_muxed23);
+       litedramcore_dfi_p3_ras_n <= (~array_muxed24);
+       litedramcore_dfi_p3_we_n <= (~array_muxed25);
+       litedramcore_dfi_p3_rddata_en <= array_muxed26;
+       litedramcore_dfi_p3_wrdata_en <= array_muxed27;
        if (litedramcore_trrdcon_valid) begin
                litedramcore_trrdcon_count <= 1'd1;
                if (1'd0) begin
@@ -17265,7 +12749,7 @@ always @(posedge sys_clk) begin
        new_master_rdata_valid9 <= new_master_rdata_valid8;
        interface0_bank_bus_dat_r <= 1'd0;
        if (csrbank0_sel) begin
-               case (interface0_bank_bus_adr[1])
+               case (interface0_bank_bus_adr[0])
                        1'd0: begin
                                interface0_bank_bus_dat_r <= csrbank0_init_done0_w;
                        end
@@ -17284,7 +12768,7 @@ always @(posedge sys_clk) begin
        init_error_re <= csrbank0_init_error0_re;
        interface1_bank_bus_dat_r <= 1'd0;
        if (csrbank1_sel) begin
-               case (interface1_bank_bus_adr[5:1])
+               case (interface1_bank_bus_adr[5:0])
                        1'd0: begin
                                interface1_bank_bus_dat_r <= csrbank1_dfii_control0_w;
                        end
@@ -17295,70 +12779,154 @@ always @(posedge sys_clk) begin
                                interface1_bank_bus_dat_r <= litedramcore_phaseinjector0_command_issue_w;
                        end
                        2'd3: begin
-                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi0_address0_w;
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi0_address1_w;
                        end
                        3'd4: begin
-                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi0_baddress0_w;
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi0_address0_w;
                        end
                        3'd5: begin
-                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi0_wrdata0_w;
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi0_baddress0_w;
                        end
                        3'd6: begin
-                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi0_rddata_w;
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi0_wrdata3_w;
                        end
                        3'd7: begin
-                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi1_command0_w;
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi0_wrdata2_w;
                        end
                        4'd8: begin
-                               interface1_bank_bus_dat_r <= litedramcore_phaseinjector1_command_issue_w;
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi0_wrdata1_w;
                        end
                        4'd9: begin
-                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi1_address0_w;
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi0_wrdata0_w;
                        end
                        4'd10: begin
-                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi1_baddress0_w;
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi0_rddata3_w;
                        end
                        4'd11: begin
-                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi1_wrdata0_w;
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi0_rddata2_w;
                        end
                        4'd12: begin
-                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi1_rddata_w;
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi0_rddata1_w;
                        end
                        4'd13: begin
-                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi2_command0_w;
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi0_rddata0_w;
                        end
                        4'd14: begin
-                               interface1_bank_bus_dat_r <= litedramcore_phaseinjector2_command_issue_w;
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi1_command0_w;
                        end
                        4'd15: begin
-                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi2_address0_w;
+                               interface1_bank_bus_dat_r <= litedramcore_phaseinjector1_command_issue_w;
                        end
                        5'd16: begin
-                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi2_baddress0_w;
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi1_address1_w;
                        end
                        5'd17: begin
-                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi2_wrdata0_w;
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi1_address0_w;
                        end
                        5'd18: begin
-                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi2_rddata_w;
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi1_baddress0_w;
                        end
                        5'd19: begin
-                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi3_command0_w;
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi1_wrdata3_w;
                        end
                        5'd20: begin
-                               interface1_bank_bus_dat_r <= litedramcore_phaseinjector3_command_issue_w;
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi1_wrdata2_w;
                        end
                        5'd21: begin
-                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi3_address0_w;
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi1_wrdata1_w;
                        end
                        5'd22: begin
-                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi3_baddress0_w;
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi1_wrdata0_w;
                        end
                        5'd23: begin
-                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi3_wrdata0_w;
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi1_rddata3_w;
                        end
                        5'd24: begin
-                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi3_rddata_w;
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi1_rddata2_w;
+                       end
+                       5'd25: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi1_rddata1_w;
+                       end
+                       5'd26: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi1_rddata0_w;
+                       end
+                       5'd27: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi2_command0_w;
+                       end
+                       5'd28: begin
+                               interface1_bank_bus_dat_r <= litedramcore_phaseinjector2_command_issue_w;
+                       end
+                       5'd29: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi2_address1_w;
+                       end
+                       5'd30: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi2_address0_w;
+                       end
+                       5'd31: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi2_baddress0_w;
+                       end
+                       6'd32: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi2_wrdata3_w;
+                       end
+                       6'd33: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi2_wrdata2_w;
+                       end
+                       6'd34: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi2_wrdata1_w;
+                       end
+                       6'd35: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi2_wrdata0_w;
+                       end
+                       6'd36: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi2_rddata3_w;
+                       end
+                       6'd37: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi2_rddata2_w;
+                       end
+                       6'd38: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi2_rddata1_w;
+                       end
+                       6'd39: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi2_rddata0_w;
+                       end
+                       6'd40: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi3_command0_w;
+                       end
+                       6'd41: begin
+                               interface1_bank_bus_dat_r <= litedramcore_phaseinjector3_command_issue_w;
+                       end
+                       6'd42: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi3_address1_w;
+                       end
+                       6'd43: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi3_address0_w;
+                       end
+                       6'd44: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi3_baddress0_w;
+                       end
+                       6'd45: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi3_wrdata3_w;
+                       end
+                       6'd46: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi3_wrdata2_w;
+                       end
+                       6'd47: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi3_wrdata1_w;
+                       end
+                       6'd48: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi3_wrdata0_w;
+                       end
+                       6'd49: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi3_rddata3_w;
+                       end
+                       6'd50: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi3_rddata2_w;
+                       end
+                       6'd51: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi3_rddata1_w;
+                       end
+                       6'd52: begin
+                               interface1_bank_bus_dat_r <= csrbank1_dfii_pi3_rddata0_w;
                        end
                endcase
        end
@@ -17370,133 +12938,115 @@ always @(posedge sys_clk) begin
                litedramcore_phaseinjector0_command_storage[5:0] <= csrbank1_dfii_pi0_command0_r;
        end
        litedramcore_phaseinjector0_command_re <= csrbank1_dfii_pi0_command0_re;
+       if (csrbank1_dfii_pi0_address1_re) begin
+               litedramcore_phaseinjector0_address_storage[13:8] <= csrbank1_dfii_pi0_address1_r;
+       end
        if (csrbank1_dfii_pi0_address0_re) begin
-               litedramcore_phaseinjector0_address_storage[13:0] <= csrbank1_dfii_pi0_address0_r;
+               litedramcore_phaseinjector0_address_storage[7:0] <= csrbank1_dfii_pi0_address0_r;
        end
        litedramcore_phaseinjector0_address_re <= csrbank1_dfii_pi0_address0_re;
        if (csrbank1_dfii_pi0_baddress0_re) begin
                litedramcore_phaseinjector0_baddress_storage[2:0] <= csrbank1_dfii_pi0_baddress0_r;
        end
        litedramcore_phaseinjector0_baddress_re <= csrbank1_dfii_pi0_baddress0_re;
+       if (csrbank1_dfii_pi0_wrdata3_re) begin
+               litedramcore_phaseinjector0_wrdata_storage[31:24] <= csrbank1_dfii_pi0_wrdata3_r;
+       end
+       if (csrbank1_dfii_pi0_wrdata2_re) begin
+               litedramcore_phaseinjector0_wrdata_storage[23:16] <= csrbank1_dfii_pi0_wrdata2_r;
+       end
+       if (csrbank1_dfii_pi0_wrdata1_re) begin
+               litedramcore_phaseinjector0_wrdata_storage[15:8] <= csrbank1_dfii_pi0_wrdata1_r;
+       end
        if (csrbank1_dfii_pi0_wrdata0_re) begin
-               litedramcore_phaseinjector0_wrdata_storage[31:0] <= csrbank1_dfii_pi0_wrdata0_r;
+               litedramcore_phaseinjector0_wrdata_storage[7:0] <= csrbank1_dfii_pi0_wrdata0_r;
        end
        litedramcore_phaseinjector0_wrdata_re <= csrbank1_dfii_pi0_wrdata0_re;
        if (csrbank1_dfii_pi1_command0_re) begin
                litedramcore_phaseinjector1_command_storage[5:0] <= csrbank1_dfii_pi1_command0_r;
        end
        litedramcore_phaseinjector1_command_re <= csrbank1_dfii_pi1_command0_re;
+       if (csrbank1_dfii_pi1_address1_re) begin
+               litedramcore_phaseinjector1_address_storage[13:8] <= csrbank1_dfii_pi1_address1_r;
+       end
        if (csrbank1_dfii_pi1_address0_re) begin
-               litedramcore_phaseinjector1_address_storage[13:0] <= csrbank1_dfii_pi1_address0_r;
+               litedramcore_phaseinjector1_address_storage[7:0] <= csrbank1_dfii_pi1_address0_r;
        end
        litedramcore_phaseinjector1_address_re <= csrbank1_dfii_pi1_address0_re;
        if (csrbank1_dfii_pi1_baddress0_re) begin
                litedramcore_phaseinjector1_baddress_storage[2:0] <= csrbank1_dfii_pi1_baddress0_r;
        end
        litedramcore_phaseinjector1_baddress_re <= csrbank1_dfii_pi1_baddress0_re;
+       if (csrbank1_dfii_pi1_wrdata3_re) begin
+               litedramcore_phaseinjector1_wrdata_storage[31:24] <= csrbank1_dfii_pi1_wrdata3_r;
+       end
+       if (csrbank1_dfii_pi1_wrdata2_re) begin
+               litedramcore_phaseinjector1_wrdata_storage[23:16] <= csrbank1_dfii_pi1_wrdata2_r;
+       end
+       if (csrbank1_dfii_pi1_wrdata1_re) begin
+               litedramcore_phaseinjector1_wrdata_storage[15:8] <= csrbank1_dfii_pi1_wrdata1_r;
+       end
        if (csrbank1_dfii_pi1_wrdata0_re) begin
-               litedramcore_phaseinjector1_wrdata_storage[31:0] <= csrbank1_dfii_pi1_wrdata0_r;
+               litedramcore_phaseinjector1_wrdata_storage[7:0] <= csrbank1_dfii_pi1_wrdata0_r;
        end
        litedramcore_phaseinjector1_wrdata_re <= csrbank1_dfii_pi1_wrdata0_re;
        if (csrbank1_dfii_pi2_command0_re) begin
                litedramcore_phaseinjector2_command_storage[5:0] <= csrbank1_dfii_pi2_command0_r;
        end
        litedramcore_phaseinjector2_command_re <= csrbank1_dfii_pi2_command0_re;
+       if (csrbank1_dfii_pi2_address1_re) begin
+               litedramcore_phaseinjector2_address_storage[13:8] <= csrbank1_dfii_pi2_address1_r;
+       end
        if (csrbank1_dfii_pi2_address0_re) begin
-               litedramcore_phaseinjector2_address_storage[13:0] <= csrbank1_dfii_pi2_address0_r;
+               litedramcore_phaseinjector2_address_storage[7:0] <= csrbank1_dfii_pi2_address0_r;
        end
        litedramcore_phaseinjector2_address_re <= csrbank1_dfii_pi2_address0_re;
        if (csrbank1_dfii_pi2_baddress0_re) begin
                litedramcore_phaseinjector2_baddress_storage[2:0] <= csrbank1_dfii_pi2_baddress0_r;
        end
        litedramcore_phaseinjector2_baddress_re <= csrbank1_dfii_pi2_baddress0_re;
+       if (csrbank1_dfii_pi2_wrdata3_re) begin
+               litedramcore_phaseinjector2_wrdata_storage[31:24] <= csrbank1_dfii_pi2_wrdata3_r;
+       end
+       if (csrbank1_dfii_pi2_wrdata2_re) begin
+               litedramcore_phaseinjector2_wrdata_storage[23:16] <= csrbank1_dfii_pi2_wrdata2_r;
+       end
+       if (csrbank1_dfii_pi2_wrdata1_re) begin
+               litedramcore_phaseinjector2_wrdata_storage[15:8] <= csrbank1_dfii_pi2_wrdata1_r;
+       end
        if (csrbank1_dfii_pi2_wrdata0_re) begin
-               litedramcore_phaseinjector2_wrdata_storage[31:0] <= csrbank1_dfii_pi2_wrdata0_r;
+               litedramcore_phaseinjector2_wrdata_storage[7:0] <= csrbank1_dfii_pi2_wrdata0_r;
        end
        litedramcore_phaseinjector2_wrdata_re <= csrbank1_dfii_pi2_wrdata0_re;
        if (csrbank1_dfii_pi3_command0_re) begin
                litedramcore_phaseinjector3_command_storage[5:0] <= csrbank1_dfii_pi3_command0_r;
        end
        litedramcore_phaseinjector3_command_re <= csrbank1_dfii_pi3_command0_re;
+       if (csrbank1_dfii_pi3_address1_re) begin
+               litedramcore_phaseinjector3_address_storage[13:8] <= csrbank1_dfii_pi3_address1_r;
+       end
        if (csrbank1_dfii_pi3_address0_re) begin
-               litedramcore_phaseinjector3_address_storage[13:0] <= csrbank1_dfii_pi3_address0_r;
+               litedramcore_phaseinjector3_address_storage[7:0] <= csrbank1_dfii_pi3_address0_r;
        end
        litedramcore_phaseinjector3_address_re <= csrbank1_dfii_pi3_address0_re;
        if (csrbank1_dfii_pi3_baddress0_re) begin
                litedramcore_phaseinjector3_baddress_storage[2:0] <= csrbank1_dfii_pi3_baddress0_r;
        end
        litedramcore_phaseinjector3_baddress_re <= csrbank1_dfii_pi3_baddress0_re;
+       if (csrbank1_dfii_pi3_wrdata3_re) begin
+               litedramcore_phaseinjector3_wrdata_storage[31:24] <= csrbank1_dfii_pi3_wrdata3_r;
+       end
+       if (csrbank1_dfii_pi3_wrdata2_re) begin
+               litedramcore_phaseinjector3_wrdata_storage[23:16] <= csrbank1_dfii_pi3_wrdata2_r;
+       end
+       if (csrbank1_dfii_pi3_wrdata1_re) begin
+               litedramcore_phaseinjector3_wrdata_storage[15:8] <= csrbank1_dfii_pi3_wrdata1_r;
+       end
        if (csrbank1_dfii_pi3_wrdata0_re) begin
-               litedramcore_phaseinjector3_wrdata_storage[31:0] <= csrbank1_dfii_pi3_wrdata0_r;
+               litedramcore_phaseinjector3_wrdata_storage[7:0] <= csrbank1_dfii_pi3_wrdata0_r;
        end
        litedramcore_phaseinjector3_wrdata_re <= csrbank1_dfii_pi3_wrdata0_re;
        if (sys_rst) begin
-               ddrphy_dfitimingschecker_cnt <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker0 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker1 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker2 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker3 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker4 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker5 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker6 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker7 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker8 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker9 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker10 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker11 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker12 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker13 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker14 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker15 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker16 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker17 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker18 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker19 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker20 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker21 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker22 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker23 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker24 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker25 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker26 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker27 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker28 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker29 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker30 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker31 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker32 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker33 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker34 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker35 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker36 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker37 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker38 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker39 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker40 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker41 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker42 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker43 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker44 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker45 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker46 <= 64'd0;
-               ddrphy_dfitimingschecker_dfitimingschecker47 <= 64'd0;
-               ddrphy_dfitimingschecker_last_cmd0 <= 4'd0;
-               ddrphy_dfitimingschecker_last_cmd1 <= 4'd0;
-               ddrphy_dfitimingschecker_last_cmd2 <= 4'd0;
-               ddrphy_dfitimingschecker_last_cmd3 <= 4'd0;
-               ddrphy_dfitimingschecker_last_cmd4 <= 4'd0;
-               ddrphy_dfitimingschecker_last_cmd5 <= 4'd0;
-               ddrphy_dfitimingschecker_last_cmd6 <= 4'd0;
-               ddrphy_dfitimingschecker_last_cmd7 <= 4'd0;
-               ddrphy_dfitimingschecker0 <= 64'd0;
-               ddrphy_dfitimingschecker1 <= 64'd0;
-               ddrphy_dfitimingschecker2 <= 64'd0;
-               ddrphy_dfitimingschecker3 <= 64'd0;
-               ddrphy_dfitimingschecker_act_curr <= 2'd0;
-               ddrphy_dfitimingschecker_ref_ps <= 64'd0;
-               ddrphy_dfitimingschecker_ref_ps_mod <= 64'd0;
-               ddrphy_dfitimingschecker_ref_ps_diff <= 64'd0;
-               ddrphy_dfitimingschecker_ref_done <= 1'd0;
                ddrphy_bankmodel0_active <= 1'd0;
                ddrphy_bankmodel0_row <= 14'd0;
                ddrphy_bankmodel1_active <= 1'd0;