add -nocarry option to synth_xilinx to avoid nextpnr-xilinx lock-up
authorLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 11 Feb 2022 19:51:20 +0000 (19:51 +0000)
committerLuke Kenneth Casson Leighton <lkcl@lkcl.net>
Fri, 11 Feb 2022 19:51:20 +0000 (19:51 +0000)
situation when CARRY4 chains go about 23-25

nmigen/vendor/xilinx.py

index 7514ceb11866f037ccddd1ffdd4b3b69ccfdaff9..0517ecb487ad272bc77383cc3f66a7fa70c874a0 100644 (file)
@@ -480,7 +480,7 @@ class XilinxPlatform(TemplatedPlatform):
         """,
         r"""
         {{invoke_tool("yosys")}}
-            -p "synth_xilinx -flatten -abc9 -nobram -arch xc7 -top {{name}}; write_json {{name}}.json" {% for file in platform.iter_files(".v", ".sv", ".vhd", ".vhdl") -%} {{file}} {% endfor %} {{name}}.v
+            -p "synth_xilinx -flatten -nocarry -abc9 -nobram -arch xc7 -top {{name}}; write_json {{name}}.json" {% for file in platform.iter_files(".v", ".sv", ".vhd", ".vhdl") -%} {{file}} {% endfor %} {{name}}.v
         """,
         r"""
         {{invoke_tool("nextpnr-xilinx")}}