Fix styling
authorJean THOMAS <git0@pub.jeanthomas.me>
Wed, 8 Jul 2020 13:38:12 +0000 (15:38 +0200)
committerJean THOMAS <git0@pub.jeanthomas.me>
Wed, 8 Jul 2020 13:38:12 +0000 (15:38 +0200)
gram/core/multiplexer.py

index ffe47f992f58230e9ef751301659544ca2d38c82..03a769586d3a834233bd10724c856bfd044ebf68 100644 (file)
@@ -192,12 +192,9 @@ class _Steerer(Elaboratable):
 
             m.d.sync += [
                 phase.address.eq(Array(cmd.a for cmd in commands)[sel]),
-                phase.cas_n.eq(~Array(valid_and(cmd, "cas")
-                                      for cmd in commands)[sel]),
-                phase.ras_n.eq(~Array(valid_and(cmd, "ras")
-                                      for cmd in commands)[sel]),
-                phase.we_n.eq(~Array(valid_and(cmd, "we")
-                                     for cmd in commands)[sel])
+                phase.cas_n.eq(~Array(valid_and(cmd, "cas") for cmd in commands)[sel]),
+                phase.ras_n.eq(~Array(valid_and(cmd, "ras") for cmd in commands)[sel]),
+                phase.we_n.eq(~Array(valid_and(cmd, "we") for cmd in commands)[sel])
             ]
 
             rddata_ens = Array(valid_and(cmd, "is_read") for cmd in commands)