Merge branch 'zachjs-const-func-block-var'
authorClaire Wolf <claire@symbioticeda.com>
Tue, 18 Aug 2020 15:32:00 +0000 (17:32 +0200)
committerClaire Wolf <claire@symbioticeda.com>
Tue, 18 Aug 2020 15:32:00 +0000 (17:32 +0200)

Trivial merge