From: Jakob Wenzel Date: Thu, 25 Apr 2019 13:12:24 +0000 (+0200) Subject: fail svinterfaces testcases on yosys error exit X-Git-Tag: yosys-0.9~160^2 X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;ds=sidebyside;h=98ffe5fb007c0e0d348f961a4e99d8b2f241eac1;p=yosys.git fail svinterfaces testcases on yosys error exit --- diff --git a/tests/svinterfaces/runone.sh b/tests/svinterfaces/runone.sh index 0adecc797..71c2d4976 100755 --- a/tests/svinterfaces/runone.sh +++ b/tests/svinterfaces/runone.sh @@ -11,13 +11,13 @@ echo "" > $STDERRFILE echo -n "Test: ${TESTNAME} -> " +set -e + $PWD/../../yosys -p "read_verilog -sv ${TESTNAME}.sv ; hierarchy -check -top TopModule ; synth ; write_verilog ${TESTNAME}_syn.v" >> $STDOUTFILE >> $STDERRFILE $PWD/../../yosys -p "read_verilog -sv ${TESTNAME}_ref.v ; hierarchy -check -top TopModule ; synth ; write_verilog ${TESTNAME}_ref_syn.v" >> $STDOUTFILE >> $STDERRFILE rm -f a.out reference_result.txt dut_result.txt -set -e - iverilog -g2012 ${TESTNAME}_syn.v iverilog -g2012 ${TESTNAME}_ref_syn.v