From: whitequark Date: Mon, 13 Apr 2020 14:43:43 +0000 (+0000) Subject: back.rtlil: fix legalization of Part() with stride. X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=0896a3e786a123cad96d25630812eea11055c493;p=nmigen.git back.rtlil: fix legalization of Part() with stride. Also known as word_select(). --- diff --git a/nmigen/back/rtlil.py b/nmigen/back/rtlil.py index fb60003..c074a97 100644 --- a/nmigen/back/rtlil.py +++ b/nmigen/back/rtlil.py @@ -644,7 +644,7 @@ class _LHSValueCompiler(_ValueCompiler): # system resources. max_branches = len(value.value) // value.stride + 1 raise LegalizeValue(value.offset, - range((1 << len(value.offset)) // value.stride)[:max_branches], + range(1 << len(value.offset))[:max_branches], value.src_loc) def on_Repl(self, value):