From: Luke Kenneth Casson Leighton Date: Wed, 5 Jan 2022 15:16:04 +0000 (+0000) Subject: remove next-read debug printouts X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=0e74463bb93a4c98f25b85a2c51e347df814eb6f;p=microwatt.git remove next-read debug printouts --- diff --git a/verilator/microwatt-verilator.cpp b/verilator/microwatt-verilator.cpp index f77b2c5..93abe8a 100644 --- a/verilator/microwatt-verilator.cpp +++ b/verilator/microwatt-verilator.cpp @@ -203,22 +203,12 @@ int main(int argc, char **argv) fflush(dump); } // read on one clock delay - if (next_read) { + if (top->bram_re) { fprintf(dump, "bram rd addr %08x din %16lx sel %x ", - bram_addr, top->bram_do, top->bram_sel); - if ((mem != NULL) && bram_data1 != top->bram_do) { // check contents - fprintf(dump, "bram != %16lx ", bram_data1 ); - } - ascii_dump((unsigned char*)&top->bram_do, 8, dump); + top->bram_addr, bram_do, top->bram_sel); + ascii_dump((unsigned char*)&bram_do, 8, dump); fflush(dump); } - next_read = top->bram_re; - bram_addr = top->bram_addr; - bram_data1 = bram_data; - // get the contents of the memory - if ((mem != NULL) && next_read) { - bram_data = ((unsigned long long*)mem)[bram_addr]; - } #endif // BRAM_DEBUG }