From: Clifford Wolf Date: Sun, 13 May 2018 14:36:12 +0000 (+0200) Subject: Some cleanups in setundef.cc X-Git-Tag: yosys-0.8~96 X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=0fad1570b5ab07aabf212242039921c63d1db32a;p=yosys.git Some cleanups in setundef.cc Signed-off-by: Clifford Wolf --- diff --git a/passes/cmds/setundef.cc b/passes/cmds/setundef.cc index 389c3790e..c11ddbdc1 100644 --- a/passes/cmds/setundef.cc +++ b/passes/cmds/setundef.cc @@ -40,6 +40,9 @@ struct SetundefWorker if (next_bit_mode == 1) return RTLIL::State::S1; + if (next_bit_mode == 2) + log_abort(); + if (next_bit_mode == 4) return RTLIL::State::Sx; @@ -117,21 +120,25 @@ struct SetundefPass : public Pass { if (args[argidx] == "-zero") { got_value = true; worker.next_bit_mode = 0; + worker.next_bit_state = 0; continue; } if (args[argidx] == "-one") { got_value = true; worker.next_bit_mode = 1; + worker.next_bit_state = 0; continue; } if (args[argidx] == "-anyseq") { got_value = true; worker.next_bit_mode = 2; + worker.next_bit_state = 0; continue; } if (args[argidx] == "-undef") { got_value = true; worker.next_bit_mode = 4; + worker.next_bit_state = 0; continue; } if (args[argidx] == "-init") {