From: Robin Ole Heinemann Date: Sat, 2 Jan 2021 23:13:46 +0000 (+0100) Subject: lib.fifo: use proper clock domains in AsyncFIFO tests X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=11c6e62e9ed9cb7a595c15b7449e710974d5f3c1;p=nmigen.git lib.fifo: use proper clock domains in AsyncFIFO tests --- diff --git a/tests/test_lib_fifo.py b/tests/test_lib_fifo.py index bd5a9d9..d276b3d 100644 --- a/tests/test_lib_fifo.py +++ b/tests/test_lib_fifo.py @@ -312,16 +312,16 @@ class AsyncFIFOSimCase(FHDLTestCase): for i in range(fill_in): yield fifo.w_data.eq(i) yield fifo.w_en.eq(1) - yield + yield Tick("write") yield fifo.w_en.eq(0) - yield - yield + yield Tick("write") + yield Tick("write") self.assertEqual((yield fifo.w_level), expected_level) yield write_done.eq(1) def read_process(): while not (yield write_done): - yield + yield Tick("read") self.assertEqual((yield fifo.r_level), expected_level) simulator = Simulator(fifo)