From: Florent Kermarrec Date: Mon, 15 Apr 2019 14:48:47 +0000 (+0200) Subject: build/xilinx/vivado: set quiet property on MultiReg/AsyncResetSynchronizer constraints X-Git-Tag: 24jan2021_ls180~1329 X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=1275e2f150552c5b257368c7a00078c120cf2918;p=litex.git build/xilinx/vivado: set quiet property on MultiReg/AsyncResetSynchronizer constraints MultiReg/AsyncResetSynchronizer are not necessarily present in all design, set quiet property to avoid generating false warnings. --- diff --git a/litex/build/xilinx/vivado.py b/litex/build/xilinx/vivado.py index b9750bba..81af2b0c 100644 --- a/litex/build/xilinx/vivado.py +++ b/litex/build/xilinx/vivado.py @@ -197,23 +197,23 @@ class XilinxVivadoToolchain: # The asynchronous input to a MultiReg is a false path platform.add_platform_command( "set_false_path -quiet " - "-to [get_nets -filter {{mr_ff == TRUE}}]" + "-to [get_nets -quiet -filter {{mr_ff == TRUE}}]" ) # The asychronous reset input to the AsyncResetSynchronizer is a false # path platform.add_platform_command( "set_false_path -quiet " - "-to [get_pins -filter {{REF_PIN_NAME == PRE}} " - "-of [get_cells -filter {{ars_ff1 == TRUE || ars_ff2 == TRUE}}]]" + "-to [get_pins -quiet -filter {{REF_PIN_NAME == PRE}} " + "-of [get_cells -quiet -filter {{ars_ff1 == TRUE || ars_ff2 == TRUE}}]]" ) # clock_period-2ns to resolve metastability on the wire between the # AsyncResetSynchronizer FFs platform.add_platform_command( "set_max_delay 2 -quiet " - "-from [get_pins -filter {{REF_PIN_NAME == Q}} " - "-of [get_cells -filter {{ars_ff1 == TRUE}}]] " - "-to [get_pins -filter {{REF_PIN_NAME == D}} " - "-of [get_cells -filter {{ars_ff2 == TRUE}}]]" + "-from [get_pins -quiet -filter {{REF_PIN_NAME == Q}} " + "-of [get_cells -quiet -filter {{ars_ff1 == TRUE}}]] " + "-to [get_pins -quiet -filter {{REF_PIN_NAME == D}} " + "-of [get_cells -quiet -filter {{ars_ff2 == TRUE}}]]" ) def build(self, platform, fragment, build_dir="build", build_name="top",