From: Luke Kenneth Casson Leighton Date: Sun, 29 Aug 2021 21:00:59 +0000 (+0100) Subject: unnecessary signal rename ivalid_i to ii_valid (reverting) X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=1467f945051d5b967d1232fec7ee5539f8675870;p=soc.git unnecessary signal rename ivalid_i to ii_valid (reverting) --- diff --git a/src/soc/simple/core.py b/src/soc/simple/core.py index 2e5fa5bd..65643115 100644 --- a/src/soc/simple/core.py +++ b/src/soc/simple/core.py @@ -117,7 +117,7 @@ class NonProductionCore(Elaboratable): self.sv_pred_dm = Signal() # TODO: SIMD width # issue/valid/busy signalling - self.ii_valid = Signal(reset_less=True) # instruction is valid + self.ivalid_i = Signal(reset_less=True) # instruction is valid self.issue_i = Signal(reset_less=True) self.busy_o = Signal(name="corebusy_o", reset_less=True) @@ -226,7 +226,7 @@ class NonProductionCore(Elaboratable): sync += counter.eq(counter - 1) comb += self.busy_o.eq(1) - with m.If(self.ii_valid): # run only when valid + with m.If(self.ivalid_i): # run only when valid with m.Switch(self.e.do.insn_type): # check for ATTN: halt if true with m.Case(MicrOp.OP_ATTN): diff --git a/src/soc/simple/issuer.py b/src/soc/simple/issuer.py index 5c40b3c9..dad804d4 100644 --- a/src/soc/simple/issuer.py +++ b/src/soc/simple/issuer.py @@ -860,7 +860,7 @@ class TestIssuerInternal(Elaboratable): # temporaries core_busy_o = core.busy_o # core is busy - core_ii_valid = core.ii_valid # instruction is valid + core_ivalid_i = core.ivalid_i # instruction is valid core_issue_i = core.issue_i # instruction is issued insn_type = core.e.do.insn_type # instruction MicroOp type @@ -870,7 +870,7 @@ class TestIssuerInternal(Elaboratable): with m.State("INSN_START"): comb += exec_insn_o_ready.eq(1) with m.If(exec_insn_i_valid): - comb += core_ii_valid.eq(1) # instruction is valid + comb += core_ivalid_i.eq(1) # instruction is valid comb += core_issue_i.eq(1) # and issued sync += sv_changed.eq(0) sync += pc_changed.eq(0) @@ -879,7 +879,7 @@ class TestIssuerInternal(Elaboratable): # instruction started: must wait till it finishes with m.State("INSN_ACTIVE"): with m.If(insn_type != MicrOp.OP_NOP): - comb += core_ii_valid.eq(1) # instruction is valid + comb += core_ivalid_i.eq(1) # instruction is valid # note changes to PC and SVSTATE with m.If(self.state_nia.wen & (1<