From: Michael Neuling Date: Thu, 14 Jul 2022 00:32:37 +0000 (+1000) Subject: Metavalue cleanup for rotator.vhdl X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=43e62dbd9e3959dd6198c59f5c5c8ccebb12cf72;p=microwatt.git Metavalue cleanup for rotator.vhdl Signed-off-by: Michael Neuling --- diff --git a/rotator.vhdl b/rotator.vhdl index 45913c9..1049e20 100644 --- a/rotator.vhdl +++ b/rotator.vhdl @@ -34,6 +34,10 @@ architecture behaviour of rotator is variable ret: std_ulogic_vector(63 downto 0); begin ret := (others => '0'); + if is_X(mask_begin) then + ret := (others => 'X'); + return ret; + end if; for i in 0 to 63 loop if i >= to_integer(unsigned(mask_begin)) then ret(63 - i) := '1';