From: Sebastien Bourdeauducq Date: Sun, 2 Feb 2020 03:12:24 +0000 (+0800) Subject: Update README. X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=491e7cabd377844e8607a32dd76890ce270bbab7;p=nmigen.git Update README. Symbiotic EDA can get credit after they clarify the sitation with me; contact attempt on 29-JAN-2020 received no reply. --- diff --git a/LICENSE.txt b/LICENSE.txt index 147ab4f..2ad6276 100644 --- a/LICENSE.txt +++ b/LICENSE.txt @@ -1,4 +1,5 @@ -Copyright (C) 2011-2019 M-Labs Limited +Copyright (C) 2011-2020 M-Labs Limited +Copyright (C) 2020 whitequark Redistribution and use in source and binary forms, with or without modification, are permitted provided that the following conditions are met: diff --git a/README.md b/README.md index 7dcfb6f..b682a17 100644 --- a/README.md +++ b/README.md @@ -16,20 +16,23 @@ nMigen is based on [Migen][], a hardware description language developed by [M-La nMigen is designed for Python 3.6 and newer. nMigen's Verilog backend requires [Yosys][] 0.9 or a newer version. -The development of nMigen has been supported by [SymbioticEDA][], [LambdaConcept][] and [M-Labs][]. +The development of nMigen has been supported by [M-Labs][] and [LambdaConcept][]. [migen]: https://m-labs.hk/migen [yosys]: http://www.clifford.at/yosys/ -[symbioticeda]: https://www.symbioticeda.com/ +[m-labs]: https://m-labs.hk [lambdaconcept]: http://lambdaconcept.com/ -[m-labs]: http://m-labs.hk + +### HLS? + +nMigen is *not* a "Python-to-FPGA" conventional high level synthesis (HLS) tool. It will *not* take a Python program as input and generate a hardware implementation of it. In nMigen, the Python program is executed by a regular Python interpreter, and it emits explicit statements in the FHDL domain-specific language. Writing a conventional HLS tool that uses nMigen as an internal component might be a good idea, on the other hand :) ### Installation nMigen requires [Yosys][] 0.9 or newer, as well as a device-specific toolchain. - pip install git+https://github.com/nmigen/nmigen.git - pip install git+https://github.com/nmigen/nmigen-boards.git + pip install git+https://github.com/m-labs/nmigen.git + pip install git+https://github.com/m-labs/nmigen-boards.git ### Introduction @@ -68,7 +71,7 @@ nMigen is released under the very permissive two-clause BSD license. Under the t Even though we do not require you to do so, these things are awesome, so please do them if possible: * tell us that you are using nMigen - * put the [nMigen logo](doc/nmigen_logo.svg) on the page of a product using it + * put the [nMigen logo](doc/nmigen_logo.svg) on the page of a product using it, with a link to https://nmigen.org * cite nMigen in publications related to research it has helped * send us feedback and suggestions for improvements * send us bug reports when something goes wrong