From: Benjamin Herrenschmidt Date: Sun, 23 Oct 2022 04:45:48 +0000 (+1100) Subject: Clean vunit_out on make clean X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=4e32dcff80657b3129c6a5811fff047c64179884;p=microwatt.git Clean vunit_out on make clean Signed-off-by: Benjamin Herrenschmidt --- diff --git a/Makefile b/Makefile index 12a9317..2a856de 100644 --- a/Makefile +++ b/Makefile @@ -337,7 +337,8 @@ _clean: rm -f microwatt.bin microwatt.json microwatt.svf microwatt_out.config rm -f microwatt.v microwatt-verilator rm -f git.vhdl - rm -rf obj_dir/ + rm -rf obj_dir + rm -rf vunit_out clean: _clean make -f scripts/mw_debug/Makefile clean