From: Jean THOMAS Date: Mon, 20 Jul 2020 11:08:47 +0000 (+0200) Subject: Remove useless signal X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=5661f963588a86ca3424091cc59142b4bcd1f2d9;p=gram.git Remove useless signal --- diff --git a/gram/phy/ecp5ddrphy.py b/gram/phy/ecp5ddrphy.py index 9f76e34..a286c8f 100644 --- a/gram/phy/ecp5ddrphy.py +++ b/gram/phy/ecp5ddrphy.py @@ -235,7 +235,6 @@ class ECP5DDRPHY(Peripheral, Elaboratable): m.d.sync += rdly.eq(0) with m.Elif(self._rdly_dq_inc.w_stb): m.d.sync += rdly.eq(rdly + 1) - datavalid = Signal() burstdet = Signal() dqs_read = Signal() dqs_bitslip = Signal(2)