From: Jean THOMAS Date: Mon, 29 Jun 2020 14:22:09 +0000 (+0200) Subject: Define simulation time as a parameter X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=5cb77e4ab0c1e6013d4702f3dcd6b04b882e2ff5;p=gram.git Define simulation time as a parameter --- diff --git a/gram/simulation/simsoctb.v b/gram/simulation/simsoctb.v index 0dbe61b..4eef976 100644 --- a/gram/simulation/simsoctb.v +++ b/gram/simulation/simsoctb.v @@ -3,6 +3,8 @@ `timescale 1 ns / 1 ps module simsoctb; + parameter simticks = 700000; + // GSR & PUR init requires for Lattice models GSR GSR_INST ( .GSR(1'b1) @@ -103,10 +105,6 @@ module simsoctb; $dumpvars(0, uart_tx); $dumpvars(0, simsoctop); - // Wait for power-on reset - //#700000; // 700us - #70000; - - $finish; + #simticks $finish; end endmodule