From: Luke Kenneth Casson Leighton Date: Sat, 2 Mar 2019 11:16:05 +0000 (+0000) Subject: add comment about add0+add1 stages X-Git-Tag: ls180-24jan2020~1772 X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=6778b58b01d81f8babfb1561041eeb866979fa9e;p=ieee754fpu.git add comment about add0+add1 stages --- diff --git a/src/add/nmigen_add_experiment.py b/src/add/nmigen_add_experiment.py index 31051211..b69c7025 100644 --- a/src/add/nmigen_add_experiment.py +++ b/src/add/nmigen_add_experiment.py @@ -459,6 +459,7 @@ class FPAddStage0(FPState): def action(self, m): m.next = "add_1" + # NOTE: these could be done as combinatorial (merge add0+add1) m.d.sync += self.out_z.copy(self.mod.out_z) m.d.sync += self.out_tot.eq(self.mod.out_tot)