From: Clifford Wolf Date: Fri, 24 Jan 2014 14:48:07 +0000 (+0100) Subject: Moved btor scripts to backends/btor/ X-Git-Tag: yosys-0.2.0~146^2~4 X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=6804edd5d4cc6cca1fbdf7cf6db236d0fcd46538;p=yosys.git Moved btor scripts to backends/btor/ --- diff --git a/backends/btor/btor.ys b/backends/btor/btor.ys new file mode 100644 index 000000000..7f3882b57 --- /dev/null +++ b/backends/btor/btor.ys @@ -0,0 +1,15 @@ +proc; +opt; opt_const -mux_undef; opt; +rename -hide;;; +#converting pmux to mux +techmap -map techlibs/common/pmux2mux.v;; +memory -nomap;; +#flatten design +flatten;; +#converting asyn memory write to syn memory +memory_unpack; +#cell output to be a single wire +splitnets -driver; +setundef -zero -undriven; +opt;;; + diff --git a/backends/btor/verilog2btor.sh b/backends/btor/verilog2btor.sh new file mode 100755 index 000000000..ef0134e07 --- /dev/null +++ b/backends/btor/verilog2btor.sh @@ -0,0 +1,35 @@ +#!/bin/sh + +# +# Script to writing btor from verilog design +# + +if [ "$#" -ne 3 ]; then + echo "Usage: $0 input.v output.btor top-module-name" >&2 + exit 1 +fi +if ! [ -e "$1" ]; then + echo "$1 not found" >&2 + exit 1 +fi + +FULL_PATH=$(readlink -f $1) +DIR=$(dirname $FULL_PATH) + +./yosys -q -p " +read_verilog $1; +hierarchy -top $3; +hierarchy -libdir $DIR; +hierarchy -check; +proc; +opt; opt_const -mux_undef; opt; +rename -hide;;; +techmap -map $YOSYS_HOME/techlibs/common/pmux2mux.v;; +memory -nomap;; +flatten;; +memory_unpack; +splitnets -driver; +setundef -zero -undriven; +opt;;; +write_btor $2;" + diff --git a/btor.ys b/btor.ys deleted file mode 100644 index 7f3882b57..000000000 --- a/btor.ys +++ /dev/null @@ -1,15 +0,0 @@ -proc; -opt; opt_const -mux_undef; opt; -rename -hide;;; -#converting pmux to mux -techmap -map techlibs/common/pmux2mux.v;; -memory -nomap;; -#flatten design -flatten;; -#converting asyn memory write to syn memory -memory_unpack; -#cell output to be a single wire -splitnets -driver; -setundef -zero -undriven; -opt;;; - diff --git a/verilog2btor.sh b/verilog2btor.sh deleted file mode 100755 index ef0134e07..000000000 --- a/verilog2btor.sh +++ /dev/null @@ -1,35 +0,0 @@ -#!/bin/sh - -# -# Script to writing btor from verilog design -# - -if [ "$#" -ne 3 ]; then - echo "Usage: $0 input.v output.btor top-module-name" >&2 - exit 1 -fi -if ! [ -e "$1" ]; then - echo "$1 not found" >&2 - exit 1 -fi - -FULL_PATH=$(readlink -f $1) -DIR=$(dirname $FULL_PATH) - -./yosys -q -p " -read_verilog $1; -hierarchy -top $3; -hierarchy -libdir $DIR; -hierarchy -check; -proc; -opt; opt_const -mux_undef; opt; -rename -hide;;; -techmap -map $YOSYS_HOME/techlibs/common/pmux2mux.v;; -memory -nomap;; -flatten;; -memory_unpack; -splitnets -driver; -setundef -zero -undriven; -opt;;; -write_btor $2;" -