From: Staf Verhaegen Date: Sat, 25 Nov 2017 15:44:05 +0000 (+0100) Subject: Fix ghdl sim script. X-Git-Tag: 24jan2021ls180~64 X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=70709b539b375a0dc7512b11f90de40e033c2151;p=c4m-jtag.git Fix ghdl sim script. --- diff --git a/sim/ghdl/bench_idcode.sh b/sim/ghdl/bench_idcode.sh index 1717ae0..e17b656 100755 --- a/sim/ghdl/bench_idcode.sh +++ b/sim/ghdl/bench_idcode.sh @@ -1,5 +1,10 @@ #!/bin/sh -ghdl -i ../../rtl/vhdl/c4m_jtag_*.vhdl -ghdl -i ../../bench/vhdl/idcode.vhdl -ghdl -m bench_idcode -./bench_idcode --wave=bench_idcode.ghw +ghdl -a --std=08 ../../rtl/vhdl/c4m_jtag_pkg.vhdl +ghdl -a --std=08 ../../rtl/vhdl/c4m_jtag_tap_fsm.vhdl +ghdl -a --std=08 ../../rtl/vhdl/c4m_jtag_irblock.vhdl +ghdl -a --std=08 ../../rtl/vhdl/c4m_jtag_idblock.vhdl +ghdl -a --std=08 ../../rtl/vhdl/c4m_jtag_iocell.vhdl +ghdl -a --std=08 ../../rtl/vhdl/c4m_jtag_ioblock.vhdl +ghdl -a --std=08 ../../rtl/vhdl/c4m_jtag_tap_controller.vhdl +ghdl -a --std=08 ../../bench/vhdl/idcode.vhdl +ghdl -r --std=08 bench_idcode --wave=bench_idcode.ghw