From: Luke Kenneth Casson Leighton Date: Mon, 22 Feb 2021 15:59:33 +0000 (+0000) Subject: moving PC-setting (NIA) out of execute_fsm in TestIssuer X-Git-Tag: convert-csv-opcode-to-binary~169 X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=809f17813341f4bbf71fb9bab5f01746ef9eb857;p=soc.git moving PC-setting (NIA) out of execute_fsm in TestIssuer --- diff --git a/src/soc/simple/issuer.py b/src/soc/simple/issuer.py index e0cf39a7..f6daa834 100644 --- a/src/soc/simple/issuer.py +++ b/src/soc/simple/issuer.py @@ -244,7 +244,7 @@ class TestIssuerInternal(Elaboratable): with m.If(exec_insn_ready_i): m.next = "IDLE" - def execute_fsm(self, m, core, nia, + def execute_fsm(self, m, core, insn_done, pc_changed, cur_state, fetch_insn_o, fetch_pc_ready_o, fetch_pc_valid_i, exec_insn_valid_o, exec_insn_ready_i): @@ -268,8 +268,6 @@ class TestIssuerInternal(Elaboratable): core_issue_i = core.issue_i # instruction is issued insn_type = core.e.do.insn_type # instruction MicroOp type - pc_changed = Signal() # note write to PC - with m.FSM(): # go fetch the instruction at the current PC @@ -297,7 +295,6 @@ class TestIssuerInternal(Elaboratable): with m.State("INSN_START"): comb += core_ivalid_i.eq(1) # instruction is valid comb += core_issue_i.eq(1) # and issued - sync += pc_changed.eq(0) m.next = "INSN_ACTIVE" # move to "wait completion" @@ -308,15 +305,11 @@ class TestIssuerInternal(Elaboratable): with m.If(self.state_nia.wen & (1<