From: Luke Kenneth Casson Leighton Date: Sat, 16 Feb 2019 09:04:44 +0000 (+0000) Subject: use normalize_1 function X-Git-Tag: ls180-24jan2020~1965 X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=85434e97dd06b23decca617612c77d75d10bb7ed;p=ieee754fpu.git use normalize_1 function --- diff --git a/src/add/nmigen_add_experiment.py b/src/add/nmigen_add_experiment.py index dd778d8a..fef643c8 100644 --- a/src/add/nmigen_add_experiment.py +++ b/src/add/nmigen_add_experiment.py @@ -301,15 +301,7 @@ class FPADD: # the extra mantissa bits coming from tot[0..2] with m.State("normalise_1"): - with m.If((z.m[-1] == 0) & (z.e > z.N126)): - m.d.sync +=[ - z.e.eq(z.e - 1), # DECREASE exponent - z.m.eq(z.m << 1), # shift mantissa UP - z.m[0].eq(of.guard), # steal guard bit (was tot[2]) - of.guard.eq(of.round_bit), # steal round_bit was tot[1]) - ] - with m.Else(): - m.next = "normalise_2" + self.normalise_1(m, z, of, "normalise_2") # ****** # Second stage of normalisation.