From: David Carne Date: Wed, 17 Jul 2013 10:10:08 +0000 (+0200) Subject: genlib/fifo/AsyncFIFO: fix data corruption bug X-Git-Tag: 24jan2021_ls180~2099^2~519 X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=9190568685694189dff1e4c12d04c7f669736e46;p=litex.git genlib/fifo/AsyncFIFO: fix data corruption bug --- diff --git a/migen/genlib/fifo.py b/migen/genlib/fifo.py index d3958e87..12b8033d 100644 --- a/migen/genlib/fifo.py +++ b/migen/genlib/fifo.py @@ -126,7 +126,7 @@ class AsyncFIFO(Module, _FIFOInterface): rdport = storage.get_port(clock_domain="read") self.specials += rdport self.comb += [ - rdport.adr.eq(consume.q_binary[:-1]), + rdport.adr.eq(consume.q_next_binary[:-1]), self.dout_bits.eq(rdport.dat_r) ]