From: Clifford Wolf Date: Thu, 6 Mar 2014 13:18:34 +0000 (+0100) Subject: Fixed undef handling in opt_reduce X-Git-Tag: yosys-0.3.0~84 X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=9b9c3327ccf3a8e69f9af57333839f470df0ce66;p=yosys.git Fixed undef handling in opt_reduce --- diff --git a/passes/opt/opt_reduce.cc b/passes/opt/opt_reduce.cc index dd1299810..fee8fb71b 100644 --- a/passes/opt/opt_reduce.cc +++ b/passes/opt/opt_reduce.cc @@ -65,8 +65,8 @@ struct OptReduceWorker continue; } if (chunk.wire == NULL) { - new_sig_a = RTLIL::SigSpec(RTLIL::State::Sx); - break; + new_sig_a.append(chunk); + continue; } bool imported_children = false;