From: Ahmed Irfan Date: Wed, 12 Feb 2014 12:38:28 +0000 (+0100) Subject: modified btor synthesis script for correct use of splice command. X-Git-Tag: yosys-0.2.0~18^2^2 X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=ac896c63e287aeeb7947602af0e5f8b115e0b833;p=yosys.git modified btor synthesis script for correct use of splice command. --- diff --git a/backends/btor/btor.ys b/backends/btor/btor.ys index bcfd65cdd..ec28245d3 100644 --- a/backends/btor/btor.ys +++ b/backends/btor/btor.ys @@ -1,10 +1,11 @@ proc; -opt; opt_const -mux_undef; -#splice; -opt; +opt; opt_const -mux_undef; opt; rename -hide;;; #converting pmux to mux techmap -share_map pmux2mux.v;; +#explicit type conversion +splice; opt; +#extracting memories; memory_dff -wr_only; memory_collect;; #flatten design flatten;; diff --git a/backends/btor/verilog2btor.sh b/backends/btor/verilog2btor.sh index 972b8dfbe..870f0a28d 100755 --- a/backends/btor/verilog2btor.sh +++ b/backends/btor/verilog2btor.sh @@ -22,11 +22,10 @@ hierarchy -top $3; hierarchy -libdir $DIR; hierarchy -check; proc; -opt; opt_const -mux_undef; -#splice; -opt; +opt; opt_const -mux_undef; opt; rename -hide;;; techmap -share_map pmux2mux.v;; +splice; opt; memory_dff -wr_only; memory_collect;; flatten;;