From: N. Engelhardt Date: Wed, 12 Jan 2022 10:06:05 +0000 (+0100) Subject: add testcase exposing #137 X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=ad07ea0e8590fb8fba523701c9e72b521fe0cf0c;p=SymbiYosys.git add testcase exposing #137 --- diff --git a/tests/multi_assert.sby b/tests/multi_assert.sby new file mode 100644 index 0000000..818195f --- /dev/null +++ b/tests/multi_assert.sby @@ -0,0 +1,24 @@ +[tasks] +btormc +pono + +[options] +mode bmc +depth 5 +expect fail + +[engines] +btormc: btor btormc +pono: btor pono + +[script] +read_verilog -sv multi_assert.v +prep -top test + +[file multi_assert.v] +module test(); +always @* begin +assert (1); +assert (0); +end +endmodule