From: Jean THOMAS Date: Tue, 4 Aug 2020 13:57:38 +0000 (+0200) Subject: Fix exception condition X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=cf5db01dd47a1e5625ecd94408c8f039f2d5428e;p=gram.git Fix exception condition --- diff --git a/gram/core/multiplexer.py b/gram/core/multiplexer.py index 9de4b2b..f9464b9 100644 --- a/gram/core/multiplexer.py +++ b/gram/core/multiplexer.py @@ -206,7 +206,7 @@ class _Steerer(Elaboratable): class _AntiStarvation(Elaboratable): def __init__(self, timeout): - if timeout <= 2: + if timeout < 2: raise ValueError("Timeout values under 2 are not currently supported") self.en = Signal()