From: Eddie Hung Date: Fri, 13 Dec 2019 18:26:30 +0000 (-0800) Subject: Add testcase X-Git-Tag: working-ls180~818^2~4 X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=d86d073ad657b5bc92b8ef020b79c070333f267f;p=yosys.git Add testcase --- diff --git a/tests/opt/opt_merge_init.ys b/tests/opt/opt_merge_init.ys new file mode 100644 index 000000000..a29c29df6 --- /dev/null +++ b/tests/opt/opt_merge_init.ys @@ -0,0 +1,49 @@ +read_verilog -icells <