From: Tim 'mithro' Ansell Date: Wed, 3 Oct 2018 23:02:43 +0000 (-0700) Subject: build.xilinx: Run `phys_opt_design` and generate timing report. X-Git-Tag: 24jan2021_ls180~1555^2~4 X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=dc7cd757577feab946721b949f178357a4d104af;p=litex.git build.xilinx: Run `phys_opt_design` and generate timing report. Makes the flow more similar to migen. --- diff --git a/litex/build/xilinx/vivado.py b/litex/build/xilinx/vivado.py index 3d40e9be..709f746d 100644 --- a/litex/build/xilinx/vivado.py +++ b/litex/build/xilinx/vivado.py @@ -147,6 +147,8 @@ class XilinxVivadoToolchain: tcl.append("report_control_sets -verbose -file {}_control_sets.rpt".format(build_name)) tcl.append("report_clock_utilization -file {}_clock_utilization.rpt".format(build_name)) tcl.append("route_design") + tcl.append("phys_opt_design") + tcl.append("report_timing_summary -no_header -no_detailed_paths") tcl.append("write_checkpoint -force {}_route.dcp".format(build_name)) tcl.append("report_route_status -file {}_route_status.rpt".format(build_name)) tcl.append("report_drc -file {}_drc.rpt".format(build_name))