From: Eddie Hung Date: Fri, 9 Aug 2019 23:23:32 +0000 (-0700) Subject: Another filter -> if X-Git-Tag: working-ls180~1039^2~248 X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=dfc878deb4caadbb058588b2b70b374b37978b27;p=yosys.git Another filter -> if --- diff --git a/passes/pmgen/ice40_dsp.pmg b/passes/pmgen/ice40_dsp.pmg index a1b0b5004..e0a213e85 100644 --- a/passes/pmgen/ice40_dsp.pmg +++ b/passes/pmgen/ice40_dsp.pmg @@ -22,8 +22,8 @@ endcode match ffA if mul->type != \SB_MAC16 || !param(mul, \A_REG).as_bool() + if !port(mul, \A).remove_const().empty() select ffA->type.in($dff) - filter !port(mul, \A).remove_const().empty() filter includes(port(ffA, \Q).to_sigbit_set(), port(mul, \A).remove_const().to_sigbit_set()) optional endmatch @@ -45,8 +45,8 @@ endcode match ffB if mul->type != \SB_MAC16 || !param(mul, \B_REG).as_bool() + if !port(mul, \B).remove_const().empty() select ffB->type.in($dff) - filter !port(mul, \B).remove_const().empty() filter includes(port(ffB, \Q).to_sigbit_set(), port(mul, \B).remove_const().to_sigbit_set()) optional endmatch