From: Clifford Wolf Date: Thu, 31 Mar 2016 07:57:23 +0000 (+0200) Subject: Preserve empty $pmux default cases X-Git-Tag: yosys-0.7~275 X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=e5dd5c0bcccd4e79921e6a28b550a5960a93ee07;p=yosys.git Preserve empty $pmux default cases --- diff --git a/passes/proc/proc_rmdead.cc b/passes/proc/proc_rmdead.cc index f60d4b30d..af17e8d38 100644 --- a/passes/proc/proc_rmdead.cc +++ b/passes/proc/proc_rmdead.cc @@ -51,8 +51,8 @@ void proc_rmdead(RTLIL::SwitchRule *sw, int &counter) counter++; continue; } - if (pool.empty()) - sw->cases[i]->compare.clear(); + // if (pool.empty()) + // sw->cases[i]->compare.clear(); } for (auto switch_it : sw->cases[i]->switches)