From: Clifford Wolf Date: Fri, 18 Mar 2016 11:15:00 +0000 (+0100) Subject: Fixed localparam signdness, fixes #127 X-Git-Tag: yosys-0.7~303 X-Git-Url: https://git.libre-soc.org/?a=commitdiff_plain;h=ef4207d5ade8254c9b0f63cac2ad5fee310362d4;p=yosys.git Fixed localparam signdness, fixes #127 --- diff --git a/frontends/ast/simplify.cc b/frontends/ast/simplify.cc index 5a9a8b997..ed6eb8599 100644 --- a/frontends/ast/simplify.cc +++ b/frontends/ast/simplify.cc @@ -794,7 +794,7 @@ bool AstNode::simplify(bool const_fold, bool at_zero, bool in_lvalue, int stage, RTLIL::SigSpec sig(children[0]->bits); sig.extend_u0(width, children[0]->is_signed); AstNode *old_child_0 = children[0]; - children[0] = mkconst_bits(sig.as_const().bits, children[0]->is_signed); + children[0] = mkconst_bits(sig.as_const().bits, is_signed); delete old_child_0; } children[0]->is_signed = is_signed;