Added $alu support to test_cell
[yosys.git] / CHANGELOG
2014-06-08 Clifford WolfNow we are in Yoys 0.3.0+ development
2014-06-08 Clifford WolfTagging Yosys 0.3.0 yosys-0.3.0
2014-02-15 Clifford WolfNow we are in Yoys 0.2.0+ development
2014-02-15 Clifford WolfTagging Yoys 0.2.0 yosys-0.2.0
2014-01-03 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2014-01-01 Clifford WolfUpdated CHANGELOG
2013-11-27 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2013-11-27 Clifford WolfTighter integration of ABC build