Merge pull request #877 from FelixVi/master
[yosys.git] / Makefile
2019-03-14 Clifford WolfMerge pull request #875 from YosysHQ/clifford/mutate
2019-03-14 Clifford WolfDisable realmath tests
2019-03-11 Eddie HungMerge pull request #864 from YosysHQ/svalabelfix
2019-03-11 Clifford WolfAdd ENABLE_GLOB Makefile switch
2019-02-28 Clifford WolfMerge pull request #794 from daveshah1/ecp5improve
2019-02-24 Clifford WolfMerge pull request #812 from ucb-bar/arrayhierarchyfixes
2019-02-24 Clifford WolfMerge pull request #824 from litghost/fix_reduce_on_ff
2019-02-22 Clifford WolfMerge pull request #819 from YosysHQ/clifford/optd
2019-02-22 Clifford WolfMerge pull request #820 from YosysHQ/clifford/fix810
2019-02-21 Clifford WolfFix Travis
2019-01-07 Clifford WolfMerge pull request #782 from whitequark/flowmap_dfs
2019-01-04 Clifford WolfMerge pull request #777 from mmicko/achronix_cell_sim_fix
2019-01-04 Clifford WolfMerge pull request #776 from mmicko/unify_noflatten
2019-01-04 Clifford WolfUpdate Verific default path
2018-12-16 Clifford WolfMerge pull request #736 from whitequark/select_assert_list
2018-12-16 Clifford WolfMerge pull request #704 from webhat/feature/fix-awk
2018-12-16 Clifford WolfMerge pull request #724 from whitequark/equiv_opt
2018-12-16 Clifford WolfMerge pull request #723 from whitequark/synth_ice40_map...
2018-12-16 Clifford WolfMerge pull request #722 from whitequark/rename_src
2018-12-16 Clifford WolfMerge pull request #720 from whitequark/master
2018-12-06 Clifford WolfVerific updates
2018-12-05 Clifford WolfMerge pull request #709 from smunaut/issue_708
2018-12-05 Clifford WolfMerge pull request #713 from Diego-HR/master
2018-12-05 Clifford WolfMerge pull request #712 from mmicko/anlogic-support
2018-12-05 Clifford WolfMerge pull request #717 from whitequark/opt_lut
2018-12-05 whitequarkopt_lut: new pass, to combine LUTs for tighter packing.
2018-12-01 Clifford WolfMerge pull request #676 from rafaeltp/master
2018-11-19 Daniël W. CromptonUsing awk rather than gawk
2018-11-13 Clifford WolfUpdate ABC to git rev 2ddc57d
2018-11-12 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys
2018-11-12 Clifford WolfMerge pull request #695 from daveshah1/ecp5_bb
2018-11-11 Clifford WolfUpdate ABC to git rev 68da3cf
2018-11-09 Clifford WolfMerge pull request #696 from arjenroodselaar/verific_darwin
2018-11-08 Clifford WolfFix "make ystests" to use correct Yosys binary
2018-11-08 Arjen RoodselaarUse appropriate static libraries when building with...
2018-11-07 Clifford WolfMerge pull request #693 from YosysHQ/rlimit
2018-11-06 Clifford WolfUpdate ABC rev to 4d56acf
2018-10-21 rafaeltpMerge pull request #1 from YosysHQ/master
2018-10-19 Clifford WolfMerge pull request #672 from daveshah1/fix_bram
2018-10-19 Clifford WolfMerge pull request #671 from rafaeltp/master
2018-10-19 Clifford WolfMerge pull request #670 from rubund/feature/basic_svint...
2018-10-18 Ruben UndheimBasic test for checking correct synthesis of SystemVeri...
2018-10-18 Clifford WolfUpdate ABC to git rev 14d985a
2018-10-18 Clifford WolfMerge pull request #659 from rubund/sv_interfaces
2018-10-18 Clifford WolfMerge pull request #657 from mithro/xilinx-vpr
2018-10-18 Clifford WolfMerge pull request #664 from tklam/ignore-verilog-protect
2018-10-17 Clifford WolfUpdate ABC to git rev c5b48bb
2018-10-17 Clifford WolfMerge pull request #660 from tklam/parse-liberty-detect...
2018-10-17 Clifford WolfMerge pull request #663 from aman-goel/master
2018-10-17 Clifford WolfMerge pull request #658 from daveshah1/ecp5_bram
2018-10-17 Clifford WolfMerge pull request #641 from tklam/master
2018-10-17 Clifford WolfMerge pull request #638 from udif/pr_reg_wire_error
2018-10-16 Clifford WolfAfter release is before release
2018-10-16 Clifford WolfMerge branch 'yosys-0.8-rc'
2018-10-16 Clifford WolfYosys 0.8 yosys-0.8
2018-10-15 Aman GoelMinor update
2018-10-02 Clifford WolfMerge pull request #645 from daveshah1/ecp5_dram_fix
2018-10-01 Aman GoelUpdate to .smv backend
2018-10-01 Aman GoelMerge pull request #4 from YosysHQ/master
2018-09-19 Clifford WolfMerge pull request #633 from mmicko/master
2018-09-19 Miodrag MilanovicFix Cygwin build and document needed packages
2018-09-17 Udi FinkelsteinMerge branch 'master' into pr_reg_wire_error
2018-09-14 Clifford WolfMerge pull request #625 from aman-goel/master
2018-08-30 Clifford WolfAdd "make ystests"
2018-08-27 Jim LawsonMerge branch 'master' into firrtl+modules+shiftfixes
2018-08-27 Jim LawsonMerge pull request #3 from YosysHQ/master
2018-08-27 Clifford WolfAdd "make coverage"
2018-08-27 Clifford WolfAdd ENABLE_GCOV build option
2018-08-25 Clifford WolfMerge pull request #617 from mmicko/master
2018-08-25 Miodrag Milanovicstatic link flag on main executable
2018-08-23 Clifford WolfMerge pull request #610 from udif/udif_specify_round2
2018-08-22 Jim LawsonMerge pull request #1 from YosysHQ/master
2018-08-19 Clifford WolfMerge pull request #606 from cr1901/show-win
2018-08-18 Clifford WolfMerge pull request #608 from mmicko/master
2018-08-18 Miodrag Milanovicno -fPIC for any static build
2018-08-18 Miodrag Milanovicrespect DISABLE_ABC_THREADS if used
2018-08-18 Miodrag MilanovicEnable propagating ARCHFLAGS
2018-08-18 Miodrag MilanovicAdded option to disable -fPIC on unsupported platforms
2018-08-18 Miodrag MilanovicAdded gcc-static for easier cross compilation
2018-08-18 Aman GoelMerge pull request #3 from YosysHQ/master
2018-08-15 Clifford WolfMerge pull request #605 from mmicko/master
2018-08-15 Miodrag MilanovicChanges for MXE configuration in order to compile
2018-08-15 Clifford WolfMerge pull request #573 from cr1901/msys-64
2018-08-15 Clifford WolfMerge pull request #591 from hzeller/virtual-override
2018-08-15 Clifford WolfMerge pull request #590 from hzeller/remaining-file...
2018-08-15 Clifford WolfMerge pull request #576 from cr1901/no-resource
2018-08-15 Clifford WolfMerge pull request #513 from udif/pr_reg_wire_error
2018-08-15 Clifford WolfMerge pull request #562 from udif/pr_fix_illegal_port_decl
2018-07-22 Clifford WolfUpodate ABC to git rev ae6716b
2018-07-22 Clifford WolfAdd missing -lz to MXE build
2018-07-04 Aman GoelMerge branch 'YosysHQ-master'
2018-07-04 Aman GoelMerging with official repo
2018-06-27 William D. JonesAdd support for 64-bit builds using msys2 environment.
2018-06-27 William D. JonesUse msys2-provided pthreads instead of abc's.
2018-06-19 Clifford WolfMerge pull request #571 from q3k/q3k/protobuf-backend
2018-06-19 Serge BazanskiAdd Protobuf backend
2018-05-30 Clifford WolfUpdate ABC to git rev 6df1396
2018-05-19 Clifford WolfMerge pull request #454 from rqou/emscripten-and-abc
2018-05-19 Robert OuForce abc to align memory to 8 bytes
2018-05-19 Robert OuForce abc to be linked statically and without threads...
next