Fix handling of warning and error messages within log_make_debug-blocks
[yosys.git] / Makefile
2018-10-18 Clifford WolfMerge pull request #657 from mithro/xilinx-vpr
2018-10-18 Clifford WolfMerge pull request #664 from tklam/ignore-verilog-protect
2018-10-17 Clifford WolfUpdate ABC to git rev c5b48bb
2018-10-17 Clifford WolfMerge pull request #660 from tklam/parse-liberty-detect...
2018-10-17 Clifford WolfMerge pull request #663 from aman-goel/master
2018-10-17 Clifford WolfMerge pull request #658 from daveshah1/ecp5_bram
2018-10-17 Clifford WolfMerge pull request #641 from tklam/master
2018-10-17 Clifford WolfMerge pull request #638 from udif/pr_reg_wire_error
2018-10-16 Clifford WolfAfter release is before release
2018-10-16 Clifford WolfMerge branch 'yosys-0.8-rc'
2018-10-16 Clifford WolfYosys 0.8 yosys-0.8
2018-10-15 Aman GoelMinor update
2018-10-08 Miodrag MilanovicFix Cygwin build and document needed packages
2018-10-02 Clifford WolfMerge pull request #645 from daveshah1/ecp5_dram_fix
2018-10-01 Aman GoelUpdate to .smv backend
2018-10-01 Aman GoelMerge pull request #4 from YosysHQ/master
2018-09-19 Clifford WolfMerge pull request #633 from mmicko/master
2018-09-19 Benedikt TutzerExposed generator script to make-process
2018-09-19 Miodrag MilanovicFix Cygwin build and document needed packages
2018-09-17 Udi FinkelsteinMerge branch 'master' into pr_reg_wire_error
2018-09-17 Jim LawsonMerge remote-tracking branch 'upstream/master'
2018-09-14 Clifford WolfMerge pull request #625 from aman-goel/master
2018-08-30 Clifford WolfAdd "make ystests"
2018-08-27 Jim LawsonMerge branch 'master' into firrtl+modules+shiftfixes
2018-08-27 Jim LawsonMerge pull request #3 from YosysHQ/master
2018-08-27 Clifford WolfAdd "make coverage"
2018-08-27 Clifford WolfAdd ENABLE_GCOV build option
2018-08-25 Clifford WolfMerge pull request #617 from mmicko/master
2018-08-25 Miodrag Milanovicstatic link flag on main executable
2018-08-23 Clifford WolfMerge pull request #610 from udif/udif_specify_round2
2018-08-22 Jim LawsonMerge pull request #1 from YosysHQ/master
2018-08-19 Clifford WolfMerge pull request #606 from cr1901/show-win
2018-08-18 Clifford WolfMerge pull request #608 from mmicko/master
2018-08-18 Miodrag Milanovicno -fPIC for any static build
2018-08-18 Miodrag Milanovicrespect DISABLE_ABC_THREADS if used
2018-08-18 Miodrag MilanovicEnable propagating ARCHFLAGS
2018-08-18 Miodrag MilanovicAdded option to disable -fPIC on unsupported platforms
2018-08-18 Miodrag MilanovicAdded gcc-static for easier cross compilation
2018-08-18 Aman GoelMerge pull request #3 from YosysHQ/master
2018-08-16 Benedikt TutzerPython Passes can now be added with the -m option or...
2018-08-15 Clifford WolfMerge pull request #605 from mmicko/master
2018-08-15 Miodrag MilanovicChanges for MXE configuration in order to compile
2018-08-15 Clifford WolfMerge pull request #573 from cr1901/msys-64
2018-08-15 Clifford WolfMerge pull request #591 from hzeller/virtual-override
2018-08-15 Clifford WolfMerge pull request #590 from hzeller/remaining-file...
2018-08-15 Clifford WolfMerge pull request #576 from cr1901/no-resource
2018-08-15 Clifford WolfMerge pull request #513 from udif/pr_reg_wire_error
2018-08-15 Clifford WolfMerge pull request #562 from udif/pr_fix_illegal_port_decl
2018-08-13 Benedikt TutzerAdded Wrappers for:
2018-08-01 Benedikt Tutzerremoved unused library and already present compiler...
2018-07-22 Clifford WolfUpodate ABC to git rev ae6716b
2018-07-22 Clifford WolfAdd missing -lz to MXE build
2018-07-04 Aman GoelMerge branch 'YosysHQ-master'
2018-07-04 Aman GoelMerging with official repo
2018-06-27 William D. JonesAdd support for 64-bit builds using msys2 environment.
2018-06-27 William D. JonesUse msys2-provided pthreads instead of abc's.
2018-06-25 Benedikt Tutzeradded wrappers for Design, Modules, Cells and Wires
2018-06-22 Benedikt Tutzeradded ENABLE_PYTHON option in build environment
2018-06-19 Clifford WolfMerge pull request #571 from q3k/q3k/protobuf-backend
2018-06-19 Serge BazanskiAdd Protobuf backend
2018-05-30 Clifford WolfUpdate ABC to git rev 6df1396
2018-05-19 Clifford WolfMerge pull request #454 from rqou/emscripten-and-abc
2018-05-19 Robert OuForce abc to align memory to 8 bytes
2018-05-19 Robert OuForce abc to be linked statically and without threads...
2018-05-19 Robert OuAdd options to disable abc's usage of pthreads and...
2018-05-19 Robert OuAdd an option to statically link abc into yosys
2018-05-19 Robert OuMakefile: Make abc always use stdint.h
2018-05-13 Clifford WolfUse $(OS) in makefile to check for Darwin
2018-05-13 Clifford WolfMerge pull request #505 from thefallenidealist/FreeBSD_...
2018-05-06 Johnny SorocilEnable building on FreeBSD
2018-05-04 Clifford WolfMerge pull request #537 from mithro/yosys-vpr
2018-04-30 Clifford WolfUpdate ABC to git rev f23ea8e
2018-04-12 Clifford WolfImprove Makefile error handling for when abc/ is a...
2018-03-27 Clifford WolfMerge pull request #515 from edcote/patch-1
2018-03-26 Clifford WolfFix build for new ABC location on github, also update...
2018-03-08 Clifford WolfUse Verific hier_tree component for elaboration
2018-02-27 Clifford WolfAdd -lz for verific builds
2018-02-19 Clifford WolfMerge pull request #507 from cr1901/msys2
2018-02-19 William D. JonesImprove msys2 flags for building abc.
2018-02-13 Clifford WolfFixed yosys-config for binary distributions with Verific
2018-02-03 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2018-02-03 Clifford WolfDo not create deep backtraces unless in ENABLE_DEBUG...
2018-02-03 Clifford WolfMerge pull request #488 from azonenberg/for_clifford
2018-01-19 Clifford WolfUse "strip -S" instead of "strip -d" for Mac OS X compa...
2018-01-17 Clifford WolfStrip debug symbols from binaries on install
2018-01-07 Clifford WolfUpdate ABC to hg rev 6e3c24b3308a
2017-12-09 Clifford WolfMerge branch 'master' into btor-ng
2017-12-03 Clifford WolfUse "hg ... --insecure" for cloning/pulling ABC
2017-12-02 Clifford WolfUpdate ABC to hg rev 31fc97b0aeed
2017-11-24 Clifford WolfMerge pull request #446 from mithro/travis-rework
2017-11-09 dh73Merge https://github.com/cliffordwolf/yosys
2017-11-08 Clifford WolfAdd support for editline as replacement for readline
2017-10-25 Clifford WolfAdd ENABLE_DEBUG config flag
2017-10-25 Clifford WolfUpdate ABC to hg rev f6838749f234
2017-10-11 Clifford WolfUpdate ABC to hg rev 6283c5d99b06
2017-10-10 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2017-10-06 Clifford WolfUpdate ABC to hg rev 0fc1803a77c0
2017-09-15 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2017-09-15 Clifford WolfUpdate ABC to hg rev cd6984ee82d4
2017-08-04 Clifford WolfAdd "-undefined dynamic_lookup" to OSX "yosys-config...
next