Check that whiteboxes are synthesisable
[yosys.git] / backends / edif /
2013-08-27 Clifford WolfImproved edif backend
2013-08-22 Clifford WolfAdded correct encoding of identifiers in EDIF backend
2013-08-22 Clifford WolfAdded edif backend (still under construction)