Use C++11 final/override keywords.
[yosys.git] / backends / protobuf / Makefile.inc
2020-01-29 Claire WolfMerge branch 'vector_fix' of https://github.com/Kmanfi...
2018-09-17 Udi FinkelsteinMerge branch 'master' into pr_reg_wire_error
2018-08-15 Clifford WolfMerge pull request #513 from udif/pr_reg_wire_error
2018-08-15 Clifford WolfMerge pull request #562 from udif/pr_fix_illegal_port_decl
2018-07-04 Aman GoelMerge branch 'YosysHQ-master'
2018-07-04 Aman GoelMerging with official repo
2018-06-20 Clifford WolfMerge branch 'master' of github.com:YosysHQ/yosys
2018-06-20 Clifford WolfMerge pull request #572 from q3k/q3k/fix-protobuf-build
2018-06-20 Sergiusz BazanskiFix protobuf build
2018-06-19 Clifford WolfMerge pull request #571 from q3k/q3k/protobuf-backend
2018-06-19 Serge BazanskiAdd Protobuf backend