Merge pull request #741 from whitequark/ilang_slice_sigspec
[yosys.git] / backends / verilog / verilog_backend.cc
2018-12-17 Clifford WolfMerge pull request #741 from whitequark/ilang_slice_sigspec
2018-12-17 Clifford WolfMerge pull request #744 from whitequark/write_verilog_...
2018-12-16 whitequarkwrite_verilog: handle the $shift cell.
2018-12-16 Clifford WolfMerge pull request #736 from whitequark/select_assert_list
2018-12-16 Clifford WolfMerge pull request #704 from webhat/feature/fix-awk
2018-12-16 whitequarkwrite_verilog: add a missing newline.
2018-12-16 Clifford WolfMerge pull request #738 from smunaut/issue_737
2018-12-16 Clifford WolfMerge pull request #735 from daveshah1/trifixes
2018-12-16 Clifford WolfMerge pull request #724 from whitequark/equiv_opt
2018-12-16 Clifford WolfMerge pull request #734 from grahamedgecombe/fix-shuffl...
2018-12-16 Clifford WolfMerge pull request #730 from smunaut/ffssr_dont_touch
2018-12-16 Clifford WolfMerge pull request #729 from whitequark/write_verilog_i...
2018-12-07 whitequarkwrite_verilog: correctly map RTLIL `sync init`.
2018-10-19 Clifford WolfMerge pull request #672 from daveshah1/fix_bram
2018-10-19 Clifford WolfMerge pull request #671 from rafaeltp/master
2018-10-18 rafaeltpadding offset info to memories
2018-10-18 rafaeltpadding offset info to memories
2018-10-17 Clifford WolfMerge pull request #638 from udif/pr_reg_wire_error
2018-10-02 Clifford WolfMerge pull request #645 from daveshah1/ecp5_dram_fix
2018-10-01 Aman GoelMerge pull request #4 from YosysHQ/master
2018-09-19 Clifford WolfMerge pull request #633 from mmicko/master
2018-09-19 Clifford WolfMerge pull request #631 from acw1251/master
2018-09-18 acw1251Fixed typo in "verilog_write" help message
2018-09-17 Udi FinkelsteinMerge branch 'master' into pr_reg_wire_error
2018-09-14 Clifford WolfMerge pull request #625 from aman-goel/master
2018-09-05 Clifford WolfAdd $lut support to Verilog back-end
2018-08-22 Jim LawsonMerge pull request #1 from YosysHQ/master
2018-08-18 Aman GoelMerge pull request #3 from YosysHQ/master
2018-08-15 Clifford WolfMerge pull request #573 from cr1901/msys-64
2018-08-15 Clifford WolfMerge pull request #591 from hzeller/virtual-override
2018-08-15 Clifford WolfMerge pull request #513 from udif/pr_reg_wire_error
2018-07-21 Henner ZellerConsistent use of 'override' for virtual methods in...
2018-05-04 Clifford WolfMerge pull request #537 from mithro/yosys-vpr
2018-04-22 Clifford WolfAdd $dlatch support to write_verilog
2017-10-10 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2017-10-07 Clifford WolfAdd $shiftx support to verilog front-end
2017-10-03 Clifford WolfMerge branch 'pr_ast_const_funcs' of https://github...
2017-10-03 Clifford WolfMerge branch 'fix_shift_reduce_conflict' of https:...
2017-10-03 Clifford WolfMerge branch 'dh73-master'
2017-10-03 Clifford WolfRename "write_verilog -nobasenradix" to "write_verilog...
2017-10-01 dh73Fixed wrong declaration in Verilog backend
2017-10-01 dh73Adding Cyclone IV (E, GX), Arria 10, Cyclone V and...
2017-05-17 Clifford WolfAdd $_ANDNOT_ and $_ORNOT_ gates
2016-11-16 Clifford WolfCleanups and fixed in write_verilog regarding reg init
2016-11-03 Clifford WolfAdded hex constant support to write_verilog
2016-11-01 Clifford WolfAdde "write_verilog -renameprefix -v"
2016-08-20 Clifford WolfBugfix in partial mem write handling in verilog back-end
2016-08-18 Clifford WolfAdded missing support for mem read enable ports to...
2016-08-15 Clifford WolfFixed upto handling in verilog back-end
2016-07-30 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2016-07-30 Clifford WolfAdded "write_verilog -defparam"
2016-07-30 Clifford WolfAdded "write_verilog -nodec -nostr"
2016-07-08 Clifford WolfMerge branch 'yosys-0.5-vtr' of https://github.com...
2016-04-23 Andrew ZonenbergMerge https://github.com/cliffordwolf/yosys
2016-04-21 Clifford WolfAdded "yosys -D" feature
2016-03-14 Clifford WolfBugfix in write_verilog for RTLIL processes
2015-12-07 Clifford WolfMerge pull request #108 from cseed/master
2015-09-25 Clifford WolfBugfixes in writing of memories as Verilog
2015-08-14 Larry DoolittleAnother block of spelling fixes
2015-08-14 Clifford WolfRe-created command-reference-manual.tex, copied some...
2015-08-14 Clifford WolfSpell check (by Larry Doolittle)
2015-07-02 Clifford WolfFixed trailing whitespaces
2015-06-09 Clifford WolfMerge branch 'verilog-backend-memV2' of github.com...
2015-06-08 luke whittlesey$mem cell in verilog backend : grouped writes by clock
2015-06-04 luke whittleseyBug fix in $mem verilog backend + changed tests/bram...
2015-05-22 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2015-05-20 Clifford WolfSome fixes for $mem in verilog back-end
2015-05-11 Clifford WolfMerge pull request #63 from wluker/verilog-backend-mem
2015-05-11 luke whittleseyFixed bug in $mem cell verilog code generation.
2015-05-10 Clifford WolfDisabled broken $mem support in verilog backend
2015-05-10 Clifford WolfMerge pull request #62 from wluker/verilog-backend-mem
2015-05-10 luke whittleseyMade changes recommended by Clifford Wolf ...
2015-05-08 luke whittleseyVerilog backend for $mem cells should now be able to...
2015-05-07 luke whittleseyAdded support for $mem cells in the verilog backend.
2015-04-09 Clifford WolfMinor fixes in handling of "init" attribute
2015-04-04 Clifford WolfAdded "init" attribute support to verilog backend
2015-04-03 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2015-03-18 Clifford WolfAdded Verilog backend $dffsr support
2015-02-13 Clifford WolfFixed "write_verilog -attr2comment" handling of "*...
2015-01-23 Clifford WolfAdded dict/pool.sort()
2015-01-02 Clifford WolfCosmetic changes in verilog output format
2014-12-26 Clifford WolfReplaced std::unordered_map as implementation for Yosys...
2014-12-26 Clifford WolfAdded Yosys::{dict,nodict,vector} container types
2014-12-19 Clifford WolfAdded $dffe support to write_verilog
2014-11-07 Clifford WolfFixed generation of temp names in verilog backend
2014-10-10 Clifford WolfRenamed SIZE() to GetSize() because of name collision...
2014-09-27 Clifford Wolfnamespace Yosys
2014-09-22 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2014-09-06 Clifford WolfVarious bug fixes (related to $macc model testing)
2014-09-04 Clifford WolfRemoved $bu0 cell type
2014-09-03 Clifford WolfUsing $pos models for $bu0
2014-08-23 Clifford WolfChanged backend-api from FILE to std::ostream
2014-08-16 Clifford WolfFixed AOI/OAI expr handling in verilog backend
2014-08-16 Clifford WolfAdded additional gate types: $_NAND_ $_NOR_ $_XNOR_...
2014-08-15 Clifford WolfRenamed $_INV_ cell type to $_NOT_
2014-08-14 Clifford WolfRefactoring of CellType class
2014-08-02 Clifford WolfBe more conservative with printing decimal numbers...
2014-08-02 Clifford WolfImproved verilog output for ordinary $mux cells
2014-08-02 Clifford WolfMore cleanups related to RTLIL::IdString usage
2014-07-31 Clifford WolfRenamed port access function on RTLIL::Cell, added...
next