Fixed trailing whitespaces
[yosys.git] / backends /
2015-07-02 Clifford WolfFixed trailing whitespaces
2015-06-19 Clifford WolfAdded init support to SMV back-end
2015-06-19 Clifford WolfProgress in SMV back-end
2015-06-19 Clifford WolfProgress in SMV back-end
2015-06-18 Clifford WolfProgress in SMV back-end
2015-06-17 Clifford WolfProgress in SMV back-end
2015-06-17 Clifford WolfProgress in SMV back-end
2015-06-16 Clifford WolfProgress in SMV back-end
2015-06-15 Clifford WolfProgress in SMV back-end
2015-06-15 Clifford WolfProgress in SMV back-end
2015-06-14 Clifford WolfAdded "write_smv" skeleton
2015-06-14 Clifford WolfRemoved debug code from write_smt2
2015-06-14 Clifford WolfAdded write_smt2 -mem
2015-06-11 Clifford WolfFixed cstr_buf for std::string with small string optimi...
2015-06-11 Clifford WolfImprovements in cellaigs.cc and "json -aig"
2015-06-10 Clifford WolfAigMaker refactoring
2015-06-10 Clifford WolfAdded "json -aig"
2015-06-09 Clifford WolfMerge branch 'verilog-backend-memV2' of github.com...
2015-06-08 luke whittlesey$mem cell in verilog backend : grouped writes by clock
2015-06-04 luke whittleseyBug fix in $mem verilog backend + changed tests/bram...
2015-05-24 Clifford WolfImprovements in BLIF front-end
2015-05-22 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2015-05-20 Clifford WolfSome fixes for $mem in verilog back-end
2015-05-11 Clifford WolfMerge pull request #63 from wluker/verilog-backend-mem
2015-05-11 luke whittleseyFixed bug in $mem cell verilog code generation.
2015-05-10 Clifford WolfDisabled broken $mem support in verilog backend
2015-05-10 Clifford WolfMerge pull request #62 from wluker/verilog-backend-mem
2015-05-10 luke whittleseyMade changes recommended by Clifford Wolf ...
2015-05-08 luke whittleseyVerilog backend for $mem cells should now be able to...
2015-05-07 luke whittleseyAdded support for $mem cells in the verilog backend.
2015-04-09 Clifford WolfMinor fixes in handling of "init" attribute
2015-04-08 Clifford WolfRemoved "techmap -share_map" (use "-map +/filename...
2015-04-05 Clifford WolfAdded "port_directions" to write_json output
2015-04-04 Clifford WolfAdded "init" attribute support to verilog backend
2015-04-04 Clifford WolfMerge pull request #55 from ahmedirfan1983/master
2015-04-03 Ahmed IrfanUpdate README
2015-04-03 Ahmed IrfanDelete btor.ys
2015-04-03 Ahmed IrfanUpdate README
2015-04-03 Ahmed Irfanseparated memory next from write cell
2015-04-03 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2015-03-18 Clifford WolfAdded Verilog backend $dffsr support
2015-03-06 Clifford WolfDocumentation for JSON format, added attributes
2015-03-03 Clifford WolfJson bugfix
2015-03-03 Clifford WolfJson backend improvements
2015-03-02 Clifford WolfAdded write_blif -attr
2015-03-02 Clifford WolfAdded JSON backend
2015-02-26 Clifford WolfAdded $assume support to write_smt2
2015-02-22 Clifford WolfMinor "write_smt2" help msg change
2015-02-22 Clifford WolfAdded "<mod>_a" and "<mod>_i" to write_smt2 output
2015-02-13 Clifford WolfFixed "write_verilog -attr2comment" handling of "*...
2015-02-01 Clifford WolfAdded EDIF backend support for multi-bit cell ports
2015-01-31 Clifford WolfShorter "dump" options
2015-01-24 Clifford WolfAdded ENABLE_NDEBUG makefile options
2015-01-23 Clifford WolfAdded dict/pool.sort()
2015-01-02 Clifford WolfCosmetic changes in verilog output format
2015-01-01 Clifford WolfFixed memory->start_offset handling
2014-12-26 Clifford WolfReplaced std::unordered_map as implementation for Yosys...
2014-12-26 Clifford WolfAdded Yosys::{dict,nodict,vector} container types
2014-12-25 Clifford WolfVarious fixes and improvements in "write_smt2 -bv"
2014-12-25 Clifford WolfVarious fixes and improvements in write_smt2
2014-12-25 Clifford WolfAdded support for most BV cell types to write_smt2
2014-12-25 Clifford WolfAdded "write_smt2 -bv" and other write_smt2 improvements
2014-12-24 Clifford WolfAdded write_smt2 (only gate level logic supported so...
2014-12-24 Clifford WolfRenamed extend() to extend_xx(), changed most users...
2014-12-19 Clifford WolfAdded $dffe support to write_verilog
2014-12-19 Clifford WolfFixed another bug in write_blif handling of $lut cells
2014-12-17 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2014-12-17 Clifford WolfFixed writing of $lut cells in BLIF backend
2014-12-14 Clifford WolfAdded "write_blif -undef" and support for special ...
2014-12-14 Clifford WolfAdded "write_blif -blackbox"
2014-12-14 Clifford WolfAdded "blif -unbuf" feature
2014-11-09 Clifford WolfAdded log_warning() API
2014-11-07 Clifford WolfFixed generation of temp names in verilog backend
2014-10-10 Clifford WolfRenamed SIZE() to GetSize() because of name collision...
2014-09-27 Clifford Wolfnamespace Yosys
2014-09-22 Clifford WolfMerge pull request #39 from ahmedirfan1983/master
2014-09-22 Ahmed IrfanMerge branch 'master' of https://github.com/cliffordwol...
2014-09-19 Clifford WolfSorting of object names in ilang backend
2014-09-18 ahmedirfan1983fixed memory next issue, when same memory is written...
2014-09-06 Clifford WolfVarious bug fixes (related to $macc model testing)
2014-09-06 Clifford WolfMerge branch 'master' of github.com:cliffordwolf/yosys
2014-09-06 Clifford WolfMerge pull request #38 from rubund/master
2014-09-06 Ruben UndheimCorrected spelling mistakes found by lintian
2014-09-04 Clifford WolfRemoved $bu0 cell type
2014-09-03 Clifford WolfUsing $pos models for $bu0
2014-09-02 Ahmed Irfanadded $pmux cell translation
2014-09-01 Clifford WolfUsing std::vector<RTLIL::State> instead of RTLIL::Const...
2014-08-23 Clifford WolfChanged frontend-api from FILE to std::istream
2014-08-23 Clifford WolfChanged backend-api from FILE to std::ostream
2014-08-16 Clifford WolfFixed AOI/OAI expr handling in verilog backend
2014-08-16 Clifford WolfAdded additional gate types: $_NAND_ $_NOR_ $_XNOR_...
2014-08-15 Clifford WolfRenamed $lut ports to follow A-Y naming scheme
2014-08-15 Clifford WolfRenamed $_INV_ cell type to $_NOT_
2014-08-14 Clifford WolfRefactoring of CellType class
2014-08-02 Clifford WolfBe more conservative with printing decimal numbers...
2014-08-02 Clifford WolfImproved verilog output for ordinary $mux cells
2014-08-02 Clifford WolfNo implicit conversion from IdString to anything else
2014-08-02 Clifford WolfMore cleanups related to RTLIL::IdString usage
2014-07-31 Clifford WolfRenamed port access function on RTLIL::Cell, added...
2014-07-31 Clifford WolfMoved some stuff to kernel/yosys.{h,cc}, using Yosys...
next