Allow a full make check on Travis
[microwatt.git] / execute2.vhdl
2019-08-22 Anton BlanchardInitial import of microwatt